From e7fed25b0a8579d85f3f94f078a0ab86c2fe6abf Mon Sep 17 00:00:00 2001 From: Isaac Shoebottom Date: Thu, 16 Nov 2023 14:39:13 -0400 Subject: [PATCH] Add assignment 6 starter --- Assignment6/.gitignore | 5 + Assignment6/.idea/.gitignore | 8 ++ Assignment6/.idea/misc.xml | 20 ++++ Assignment6/.idea/runConfigurations/all.xml | 8 ++ Assignment6/.idea/runConfigurations/build.xml | 8 ++ Assignment6/.idea/runConfigurations/clean.xml | 8 ++ Assignment6/.idea/runConfigurations/test.xml | 8 ++ Assignment6/.idea/vcs.xml | 6 ++ Assignment6/Makefile | 41 +++++++ Assignment6/code/starter_code.c | 102 ++++++++++++++++++ Assignment6/documentation/Assignment 6-v2.pdf | Bin 0 -> 114900 bytes .../documentation/sample_test_suite-2023.zip | Bin 0 -> 9228 bytes Assignment6/in/test1.in | 2 + Assignment6/in/test10.in | 102 ++++++++++++++++++ Assignment6/in/test2.in | 3 + Assignment6/in/test3.in | 4 + Assignment6/in/test4.in | 3 + Assignment6/in/test5.in | 5 + Assignment6/in/test6.in | 6 ++ Assignment6/in/test7.in | 8 ++ Assignment6/in/test8.in | 8 ++ Assignment6/in/test9.in | 9 ++ Assignment6/out/test1.out | 3 + Assignment6/out/test13.out | 3 + Assignment6/out/test14.out | 3 + Assignment6/out/test2.out | 3 + Assignment6/out/test3.out | 4 + Assignment6/out/test4.out | 4 + Assignment6/out/test5.out | 5 + Assignment6/out/test6.out | 3 + Assignment6/out/test7-a.out | 3 + Assignment6/out/test7-b.out | 3 + Assignment6/out/test7-c.out | 3 + Assignment6/out/test8-a.out | 3 + Assignment6/out/test8-b.out | 3 + Assignment6/out/test8-c.out | 3 + 36 files changed, 410 insertions(+) create mode 100644 Assignment6/.gitignore create mode 100644 Assignment6/.idea/.gitignore create mode 100644 Assignment6/.idea/misc.xml create mode 100644 Assignment6/.idea/runConfigurations/all.xml create mode 100644 Assignment6/.idea/runConfigurations/build.xml create mode 100644 Assignment6/.idea/runConfigurations/clean.xml create mode 100644 Assignment6/.idea/runConfigurations/test.xml create mode 100644 Assignment6/.idea/vcs.xml create mode 100644 Assignment6/Makefile create mode 100644 Assignment6/code/starter_code.c create mode 100644 Assignment6/documentation/Assignment 6-v2.pdf create mode 100644 Assignment6/documentation/sample_test_suite-2023.zip create mode 100644 Assignment6/in/test1.in create mode 100644 Assignment6/in/test10.in create mode 100644 Assignment6/in/test2.in create mode 100644 Assignment6/in/test3.in create mode 100644 Assignment6/in/test4.in create mode 100644 Assignment6/in/test5.in create mode 100644 Assignment6/in/test6.in create mode 100644 Assignment6/in/test7.in create mode 100644 Assignment6/in/test8.in create mode 100644 Assignment6/in/test9.in create mode 100644 Assignment6/out/test1.out create mode 100644 Assignment6/out/test13.out create mode 100644 Assignment6/out/test14.out create mode 100644 Assignment6/out/test2.out create mode 100644 Assignment6/out/test3.out create mode 100644 Assignment6/out/test4.out create mode 100644 Assignment6/out/test5.out create mode 100644 Assignment6/out/test6.out create mode 100644 Assignment6/out/test7-a.out create mode 100644 Assignment6/out/test7-b.out create mode 100644 Assignment6/out/test7-c.out create mode 100644 Assignment6/out/test8-a.out create mode 100644 Assignment6/out/test8-b.out create mode 100644 Assignment6/out/test8-c.out diff --git a/Assignment6/.gitignore b/Assignment6/.gitignore new file mode 100644 index 0000000..0a0d96b --- /dev/null +++ b/Assignment6/.gitignore @@ -0,0 +1,5 @@ +# Built program +program1 + +# Testing output +student_out/* \ No newline at end of file diff --git a/Assignment6/.idea/.gitignore b/Assignment6/.idea/.gitignore new file mode 100644 index 0000000..1c2fda5 --- /dev/null +++ b/Assignment6/.idea/.gitignore @@ -0,0 +1,8 @@ +# Default ignored files +/shelf/ +/workspace.xml +# Editor-based HTTP Client requests +/httpRequests/ +# Datasource local storage ignored files +/dataSources/ +/dataSources.local.xml diff --git a/Assignment6/.idea/misc.xml b/Assignment6/.idea/misc.xml new file mode 100644 index 0000000..0f700bb --- /dev/null +++ b/Assignment6/.idea/misc.xml @@ -0,0 +1,20 @@ + + + + + + + + \ No newline at end of file diff --git a/Assignment6/.idea/runConfigurations/all.xml b/Assignment6/.idea/runConfigurations/all.xml new file mode 100644 index 0000000..38b79f9 --- /dev/null +++ b/Assignment6/.idea/runConfigurations/all.xml @@ -0,0 +1,8 @@ + + + + + + + + \ No newline at end of file diff --git a/Assignment6/.idea/runConfigurations/build.xml b/Assignment6/.idea/runConfigurations/build.xml new file mode 100644 index 0000000..fb2c672 --- /dev/null +++ b/Assignment6/.idea/runConfigurations/build.xml @@ -0,0 +1,8 @@ + + + + + + + + \ No newline at end of file diff --git a/Assignment6/.idea/runConfigurations/clean.xml b/Assignment6/.idea/runConfigurations/clean.xml new file mode 100644 index 0000000..12476b0 --- /dev/null +++ b/Assignment6/.idea/runConfigurations/clean.xml @@ -0,0 +1,8 @@ + + + + + + + + \ No newline at end of file diff --git a/Assignment6/.idea/runConfigurations/test.xml b/Assignment6/.idea/runConfigurations/test.xml new file mode 100644 index 0000000..d8d588b --- /dev/null +++ b/Assignment6/.idea/runConfigurations/test.xml @@ -0,0 +1,8 @@ + + + + + + + + \ No newline at end of file diff --git a/Assignment6/.idea/vcs.xml b/Assignment6/.idea/vcs.xml new file mode 100644 index 0000000..2e3f692 --- /dev/null +++ b/Assignment6/.idea/vcs.xml @@ -0,0 +1,6 @@ + + + + + + \ No newline at end of file diff --git a/Assignment6/Makefile b/Assignment6/Makefile new file mode 100644 index 0000000..5e46e89 --- /dev/null +++ b/Assignment6/Makefile @@ -0,0 +1,41 @@ +.PHONY: clean build test 1 2 3 4 5 6 7 8 9 10 11 12 13 14 + +all: clean build test + +test: 1 2 3 4 5 6 7 8 9 10 11 12 13 14 + +clean: + rm -rf ./program1 + rm -rf student_out/* + +build: + gcc -g -O0 ./code/*.c -o program1 + +1: + ./program1 -s 10 -f < ./in/test1.in > ./student_out/test1-a.out && diff ./student_out/test1-a.out ./out/test1.out +2: + ./program1 -s 10 -f < ./in/test2.in > ./student_out/test2-a.out && diff ./student_out/test2-a.out ./out/test2.out +3: + ./program1 -s 10 -f < ./in/test3.in > ./student_out/test3-a.out && diff ./student_out/test3-a.out ./out/test3.out +4: + ./program1 -s 10 -f < ./in/test4.in > ./student_out/test4-a.out && diff ./student_out/test4-a.out ./out/test4.out +5: + ./program1 -s 10 -f < ./in/test5.in > ./student_out/test5-a.out && diff ./student_out/test5-a.out ./out/test5.out +6: + ./program1 -s 10 -f < ./in/test6.in > ./student_out/test6-a.out && diff ./student_out/test6-a.out ./out/test6.out +7: + ./program1 -s 5 -f < ./in/test7.in > ./student_out/test7-a.out && diff ./student_out/test7-a.out ./out/test7-a.out +8: + ./program1 -s 5 -b < ./in/test7.in > ./student_out/test7-b.out && diff ./student_out/test7-b.out ./out/test7-b.out +9: + ./program1 -s 5 -w < ./in/test7.in > ./student_out/test7-c.out && diff ./student_out/test7-c.out ./out/test7-c.out +10: + ./program1 -s 5 -f < ./in/test8.in > ./student_out/test8-a.out && diff ./student_out/test8-a.out ./out/test8-a.out +11: + ./program1 -s 5 -b < ./in/test8.in > ./student_out/test8-b.out && diff ./student_out/test8-b.out ./out/test8-b.out +12: + ./program1 -s 5 -w < ./in/test8.in > ./student_out/test8-c.out && diff ./student_out/test8-c.out ./out/test8-c.out +13: + ./program1 -s 5 -w < ./in/test9.in > ./student_out/test13.out && diff ./student_out/test13.out ./out/test13.out +14: + ./program1 -s 103 -f < ./in/test10.in > ./student_out/test14.out && diff ./student_out/test14.out ./out/test14.out diff --git a/Assignment6/code/starter_code.c b/Assignment6/code/starter_code.c new file mode 100644 index 0000000..322d815 --- /dev/null +++ b/Assignment6/code/starter_code.c @@ -0,0 +1,102 @@ +#include +#include +#include + +// 1 - best fit +// 2 - worst fit +// 3 - first fit +enum Algorithm { + BEST_FIT = 1, + WORST_FIT = 2, + FIRST_FIT = 3 +}; +int algorithm; +int memSize; +int totalAllocated = 0; +int totalMemAllocated = 0; +int totalFailed = 0; +int totalTerminated = 0; +int totalFreedMemory = 0; + + +int doFree(int processId) { + return 0; +} + + +int doAllocate(int howMuchToAllocate, int processId) { + + switch (algorithm) { + case 1: { + break; + } + case 2: { + break; + } + case 3: { + break; + } + default: { + printf("There was an error, the algorithm is uninitialized"); + exit(0); + } + } +} + +int calcFinalMemory() { + return 0; +} + +int getNumberOfChunks() { + return 0; +} + +int getSmallest() { + return 0; +} + +int getBiggest() { + return 0; +} + +int main(int argc, char **argv) { + int i = 0; + for (i = 0; i < argc; i++) { + if (strcmp(argv[i], "-b") == 0) { + algorithm = 1; + } else if (strcmp(argv[i], "-w") == 0) { + algorithm = 2; + } else if (strcmp(argv[i], "-s") == 0) { + memSize = atoi(argv[i + 1]); + } else if (strcmp(argv[i], "-f") == 0) { + algorithm = 3; + } + } + if (memSize >= 0) { + // initialize your memory here + } else { + printf("The program requires size\n"); + exit(0); + } + char operation; + int id = 1337; + int size; + while (EOF != scanf("%c", &operation)) { + switch (operation) { + case 'N': + scanf(" %d %d\n", &id, &size); + doAllocate(size, id); + break; + case 'T': + scanf(" %d\n", &id); + doFree(id); + break; + case 'S': + printf("Total Processes created %d, Total allocated memory %d, Total Processes\nterminated %d, Total freed memory %d, Final memory available %d, Final\nsmallest and largest fragmented memory sizes %d and %d, total failed requests:%d, number of memory chunks: %d\n", + totalAllocated, totalMemAllocated, totalTerminated, totalFreedMemory, calcFinalMemory(), getSmallest(), getBiggest(), totalFailed, + getNumberOfChunks()); + break; + } + } + return 0; +} \ No newline at end of file diff --git a/Assignment6/documentation/Assignment 6-v2.pdf b/Assignment6/documentation/Assignment 6-v2.pdf new file mode 100644 index 0000000000000000000000000000000000000000..e6169a2ca6634f80a6726641ec38d24c8a067256 GIT binary patch literal 114900 zcmd41Wmud|uqcQVoIr4Q3C_R_?h=9rcbA~SgOlLy8Z0;j4^D6iuE8CGdvFPN29ooA zd-j~&bNBvPp64y_84S^2a}9R!K{1CsPM=U5WI;h>M<)kULmOnbxjgmPar4}$ zEnDh^15<+vhhlRafh0>J_yy@gYMT0aFr`Vf?9u91Nw@^Xg>>xaa8MZ5MU6b#IMTgGVe# zvpf#+3NvP8DcgPstF!a=@#6Z{Xi}3luor>>fNzyBs-gAq z(a~@5_>PK3(EfH_n z*BCkLG6#NS!oFM;)D0)i%ag8cS(TtY`eR;2+^N9Co(8IC>IZ=l7TZ=ByDqBNx*~~T z7a3D#88S^1yV6Y3g^5im*lcw^u_!stpZ`L>KQKx|$qyO~qG4EF zwTIL5J2h8<)3aPa0K}-Teh`RYupKE2VX3)_HnW^JFv)wq*LcE*9*H~K?KNMyVrtDf z_5&Y-7~0y@Au_ijP3@IohplW716J`aS<6U3ogk(5=nm7uY%IKeYg)n{B9_pam)ceJ zo@OPDK+C}RvS~HBVZF7=*)$9X2ff5m*|3_?UKF3S*2sgm z*`^>D!bM_tsCJf_5lSq8;*4rK4+SfiII&sC+o_S{MhKEts48|endj-k;bH^nz;KL> zFF_mXQ1*CL+}JtYQ=I%pXbfRCuHmKVuT=LbDuex?{?WL&If)E9PX}*pv8Uw56{x(? zDdV-$=_dluu`L_D_cpR|<;Sm6M^p{f^WsMB${E-!j2+sQ{tgLMpxgTtzk!ks4sO7bn{v@FQ5)u!+mKY8Ry}XMWIgjq|6WJp6&|sb`i;U^tGpPR(=vY6@7!|D`BTaWxeL&JOz;kbG zQ4@7S;67Ui6QiQogmSD&JLQm`vtKjq2QZW5L1IEiL(w}oT|DpH5Mvy&r}eUoGr88g zBrr(U>R}s!*K!s#{W*K$ND(8&Ju-rY&~?i<>?qnx^gc_(L7i24CDo-#BbJTH7FsK_ ze&xqipg*j@Faoom%Xi;7xT+mTrXLim#GOIkkSMuhxY<*FERG4x`l z#Zs_v3PZ~`w#Bg&g5nW##R8cLb~yOLoZed?*vpF#M;kh(zW-#vzv3?%+(tEULPBvX zR5>9OxfpPZ%ZKx|(FINy9dAFIvp>`u&Cq=mRg(8Jo$!q?Zwr&Zvd1Sa#pZI{WJG?k zvG3FP*7h;kASBdo9=Z{vUKcnbvB;x(8t%x#qSjY(RE_xBY~&pUHp%QWqejVH`E)!h z5q7q+N}|t+S5)wwl;*6&1bF(JR8bt@p3fbodY z!W#?I0^O6s3H_+BWqu?V_?V$Frk0@uD4PdddCdRPn^DPN0ID>q*xsQtPAjst8jPU( zYsY1M#>iz~DpEID{+V09Kc(*PvE=(#d4-5Jf=B37N5A8V5a$o9MloE6$Q zJ3|UGUPp2!Y+K8~x6r$utIZLj(?x8B`8w}P=IHXZlh0SNFXS@6!S;i}_RRWp1d|0j zuKC)xqd6`Yzgu23&MxAyO63Jx;KJS+OX10eVxH`Kcl1djR|SM90ik#H7D~+6p4{`c zZ&?KB-Ys3{lMpfBP}ZE5VkBxi6(P=PW|8U6h^>EWI z?_`9M8L4%`E$NY8p&I7FodCk@@0%T?j*x7<({$YYwEY$HOd{cQ8a}*5Va&1tkC;P? zke4qRa5x}bUGAu@u1-Yi*qiUX-Q! zF=WS?J%C#~`g1i(ua3-<)4ZgVbnMSJ3QCPA!vumbFFTz-1vi86^FGMHg*6x4LrV_a z_4s|@-%jdn?bO4N33$(R+s>eN63=9BIuA1Yibs*LyFTVDn^YK}4p<gLMx<@M@4Tv`IVX{zPCmH#_hjT&C|=TeEw}yt+)4jpyxF{3 z6S}cmMWw;bVO`@3vvx8v%o(2ptD{(IkRpBv zL0h9YS_`pvwqNlK8l-N=7#Z}TVk67Wc*6@~TaT3=2tOsy_B_-P={)UFs$XKQl(8m7#DsLCX z^;b$K1=c5n5pu)B^V!xoLOOWnEU8(ZGnlS10Ft-3wMUVMj8hRMiGBPYZ@<4VcZJ@& z_}Ojlt($=**pbq$wkjFzBRrv(#t$KHiJ0H^G9n+ItNBCDccj9-d^VUi*Cm z?=hwgRsL<1tsgJz7D`_BiildfVg{&z5T!=2WlFK0O^5Q}?c zvX{pYro0Q*#j8fDv}j!spfo^wpR_*cm?+!>3*+*Cofx=!oZunI%S$iIzrruvjC~O3 zdqXdBR9xmwHc_b2!O?Z=MT3LxS1fXMMBx~(;*lcp6(-_!?wcKX_%SVut>Lom=P#E_ z0_f%Ca1rmHp(_MOptVYy;a$Oypm-VvzDP5XuyC;!@1_x$R%(-svoR=*?4-{8@XK(( z>a*g{S-HfXxZz(3yKhn>J5YDyaKuk)0lvz!p{I8{DX*vbsGogUndhOmFj7bF$ZyLn zeosFE0UOpf{(ccbGVXmyMWW% zBS(5)8vBZ7FR$oIX^mv2X$NaY1i}9Z^%Ln=#q)NQHDC2UvKab`G!}zP7uda~ja%Vk zJ0})KrHIf?;x60v!Ot6S?~%>Oa>JYh#NRYeMQjg*_u0p|(ym-M0GX}$U)D-?X@qxU z`%n+^) zv5nGKoDbi{z#|>=Txpi0NO*ScSNJ?sM57cB!B~^8-$c@od^E*VGauJZkH7Gc?tNCO zJ{bitWQPHh?pfnaH>XsR;L}lmB!&+k}iVPkS) z0%CT1-R+Ynb3P3KSBBEM`?fwiz4Y!at=M1{5}XdFe2I662iNy5;^ z^|BqgvxvU|ma3f0FU~s`$7Er^yKm8MeCL-J-Qu{&=D`bpt7I`&zZtp%GlV5pDwaK> zYXnNJWb0A>`ejG1{-jY-0Ud#IP3@jK$cbqNHCfH7IX8;x*Jg<<wL@zjYFF&oRtNCyH3pVCu*m^=}>)=zrFQ zPq#m-9YryFcqcbC*LJ`QsIkjsgW3884N6ih5IxOifw}%Gj4o+GN4@&kk=dLE8DT6#Tl5)ui9ZOaaQj zB}jJTyq_MC9R}upp$6>@@wl*x#sGf)RwEK&#LH(pNgoke+9c+RqP=CPaVG9HjPkr^ zy2zm%c{K*TQ_xB4*L=mJWutxw4+kTnmA#18NL=z@tiyCxVSBxDYVp9&oHKMRuV+BP;KT5aFq6F>_|G~Yptq!j zwEyo1ZSVp4p9AoJdC~?SNdNOu`~T}%`@cSH|NAV>P0s%J;0^fKx%%(H`+s(>hMdg* zp6UPIp|NrQkI&U!s;?c_K$tDRUN!?8-YPQnjeLvgq8^NnhJW+sO$sqF=8CRyb?qze z+r2t%W-RGS$I2${(nE#&vrjeaJV1ew->lV3-HO!m9dU|*^0f~B%KXU2bf}apsw*b1 zw_!n4HExw$%FUO3B znD031fB!gMWpVboBn=2u+Yg(^;O{X{4DOn!5uAbpak5^5K{h}|ir1@-5Od7OLqA_Sm!te=w+WeDDI&~9x}(PEKGnZx!R zROeE8mfb0)x&{bhP~>^hyk84)8ggAt;4rAZ|5a--|2a^+nBLdFR<~N;iQHnO{^C>1 z3;x6pU-;vB?I@;Xkf#navjMdRNjM~-{1;m-HIq`CiszyJwB_HIu^uL{y^FMs*wo!z zn0u-5q57R`0#t;(OEqP=XC&4i?7x>DRW{wRnk(Pkd442k`QGcn@&|ts{O-MbG=60ieO-rzLqbi5Dz|3Slee* z`-4b;{*0&)tfwk)z8O|ggnkACc+t+YsU%9;Mjk*`|Lv7>uIz^2GS_)yU)}s?t+=eg zl5#p_U@uc6-sBy3>cU8cP8JyFCC80-%!Sm@w7tUJ_EZkNQxU1pOv2Kt&Js@}Ag%cp zZ%-@BlI+-pFzXmfFJk^lef(fyAb z9LSZ+la<^YEF9!qARr5f90X!#0g{7w04xAW%FfQhPR{k;KoBQ83nw{% zn~j`{6EX+L&c(w02R_XKfjGd@c|cs`KyC;J2N#6nNdp%q`5q94f31J?5wf7JhI z1%M62!bAQ9pCmrX`C}s&WbR*S|4)|wcPsce{g2G2)jz@~UH|5Jq5uJ$;B^DpIKj64 zSu++YLp%n6_~SqF5&UJ{pM2zId(0Is zh!?qlT;x2D*q@wahxh{kfOzf+LOA|C#tC}#z#|`I?0@=jKF;_9x!C^5CnUHa2nPrNp7NxaogMT@ezM{b0w4YLWcicto^Z&@ z|Gg?ME^y903Vn*$9~_*8?BtwW5ZfR#o~VEQ@Mtl35Aghv^c0IfGyj8|`;i0inCk#8 zhzFix^ThWw`sAx8{1N^W`M>8|ZLY`IaXotS34%Bw(R_j&z^7gQxQoDU;rdSx5F9lC z0Fqyj5g-SoZUG)xgV>u^5xsK>DUd-TacT#uYj@KN(W z+4lF7n>Hta1MEI75IZ>!ClI{hAk_@)s7D<1xOX7$fXDYI_{8zg80UX*J&pXIKu&gu zwmz|jT^G%pBO;k4Flc};D!Vd4#7d3kQNNd86dc6gG(K_WP^*` zqhfY;Zg9tXM4uox_|)*%YKWdE`=9*q?-g+YAT&iUkaNbJC8EpXKY z$C(ZM29^aL1@AO)gn1zGgp5DQdz$%2HV0($bAgYhe`G_rzz;hc8~DKS=Y`-DHje}VCXF?9l%Pa)1+%oBZDp2yWhx@IP%>2z;VIoDVsQ zK{f<8IP1Zl0kmc5p@IhKxRPa)QeSST7L#!=gtCkd_F}eQ^1E8vi5p zarPe^ygG0yva>3U^4QJzK|N9Fo4)CQD4-oYC6};2_qU7K*0Zwno-~an>uOcpBoj%!2BcRe*S`%T< z*GBZz3bc3C9`smPm%NJWiI~W(Jm@)0y7eBtegEK7dZb-FHRxjWj(kx4SUjPSuw989eJQbp(YGyD zkrf!wolYGpE2|K`^_DvCM^o-2xDn?4O}yeu)( zZ(Txcej4G$xt?=907Iq(9Keag?)@rg1nlb-vb(} z`Ut4LK0w5_{;nJSMjwv{36u4!y6TV=g3{?8`n)!{Q#f`gS>mAw z4nUz*T*W92)T29AcgnFm#>U;2O}n?xr7 z9wIMD1N1>>^`T#(qo=!L|J#w?;DewJpHx;*&WKNRUPMmAPkqzmCLVSx&>W~q^SjL5 z{H8A4gOr&mG>m;!MTNcN2^7;OXxt35sX-rj#Y=~(EA76Uq^oZTLS%GV*lnlZP*H@4 zUWZ+hxsj=3yP`mS?;h$H*~$!kkU0MG1YzXk zWr|FjubQxXJQ&?S@E`g#-94n8wrc#=N4$S9qyA}T_fVIysgLj=|_O4~01i_dfF$>x`!BmWE~4upHIDr|a)t zmz_+1o}CA2(ZlN6{BG2O3je~y^dNQFqHE@IG##{eEBm{&%1uAx0gYkD0s-(~H88WJ zFw@oD-G%b|K6?2%U0cw(7s(Ja|3iim6lWY2=Gh^P8|yHJ0g{v7!=CtZ?N#udnb04k(d{8D|CK@RpQg;>b)m423n3u zimM4&7h+m6<$mxzVwih?ZP_|HMr>gv{6(feeAz*=9CgqNbK%M&1w@=^z3AR0V_zzV zGF!=HTH^70I5sl%D(~Bgx)BJRxD`0Curoxup)$R%JJUzG&}W}+y7DUZ_2KQg9p2nW zfYVG)n|r{3=j$cweyFObYAMnA4ZXZ)fB$B)Y5e@&{U*m7{9S(VuI2rs;6KcHxg2C#ju;-Ms2R zm&!dC)!0b&%JF5D?CGxK_!uhoSjy+|^Zi?+_*~xVY4}!9gaAO*fpQKY4JY-zkfrMA zc6Yyoz~nL;wpl&0s%{^%UpU@vW zy$cas_#8E&cpXfVKd^dt#=sh}ho-cErTR1AvX@Uhb^heVw0l$T2RY2{bmcb9|j~mJF9|~f?GcV8dz%G?K*V<*bs}#*wig0(M za?Ka9w%cbYU1$|0FqyUQiXJI;crUrZ0o2%JC(%LTBLAANnlV_T@CwNsAs}W;&(UL; zF+g2qcuaw3m`TOhWDOH_^K(koCz$Yjx@Q57A6(uK|GM&(^0XnmvZcXdXmm%{3>>7Q zWp*sNg+?JJs9?ST5>xg4n(-iqhxTE_DpfH2L5fV;Wa#^&FGg&i&D`#*El^q z8mNn3&kZVx{U$$+78KJ;t@X)==Iv__&w%j+48_C-S%;W@-1?;Wmv23PcPUixbmngO zC_gXcQ9nX0A(yfPz*_N5H=|*=PrOUgXQaF~%05C!%d;<9BWBG?VS3q!8R&EO-D(`o z_^Z5}GZW2N&r7+o+4F0qu0K;UPMu3huTm0ITsVM?yx!$Y}zo^J{Lq2=gUnZ@8Z+bx2M-$Uxm2 zcO;W11}X4Wa&GtQPo)cdZREF%v zQxFan;K37X15S@}(Mk8LbvJY)mvw9DJ&nCb3Ue|U+H&*pWp7n1lL#KX*YHhZ<$twV zlrHI>sCpY<^VMqET-0;d+%l?W2Ki#lh8fX_*h`KV3CD|P1xZY-2827Y{WEb>$_1u(V@Yp z*LB#c|NDl!>K1f(ycSZg=s**|wW7xtqt)Iwgt{2%0gVSTI``U*%rS^|F1uCA z#XEqq@zK|{;i}u)dNTCxsbA9+T>N?>>amFI1|z7zz5#6K^qnBNq!h|Ke!hl* zQ+QrK{|oJgL*#caFTSKJ&T?GZa)m)A`yhSm=c@^WSeDL#h6g7J$&&%%O=rF1nariL zS%wv4sq%EEbXk!%s6!t-vXl+)HeYxOlStsC=Slzgz8F5`mxw;T=oUEXN`ZX*Q}zv$ z)fTlCa{gYC*bWV^t{`q$3BqR;LM8?0lgNr++FYF(ENd`8oYFg9kP!&ISNW31_B3yk$u)OXEE z+q*0%g6u~Do-Z?!5wH~*rIjgKKQ2=Gne1uMz1|EcA`$VeXB^wT75<@%e|<0|zdcG@ z*W|PF0tXelvs&uYDJesUJTGoRu;TwAnr_Uvlv-aXfWslp^GLl(-bR5$cW3+cAO z7Nc#W;)EG(Ii38WJF$7mEJI#~A+a(vo^BO%L!4>-M8#QL| zK|D76`O%!wNYJUao6lAOM!d~yBWl$+L4=Uj?e~!iZd1G$VSI6Q(IxXv9N9}V{1*2n zzfG&9@FfJM*qcqMW-UVj<=AggQOyxjL|U7|bmBh?Ye zY6{!9>t3&&Q!Rk>EeD+2`7HT3unRVt83@C4o3)lYUF&MOE!de@acG+M{dXK=epPbB z==tGU-&WWJ&ZV?m(R(i?t0n{kGb`pv+PCN!)JOnv+>LH{5~m|frTAE;mQW7@Rz!c- z=_C5c%u93;dMGJUEy|FEb+k7hSM&IjmtpX*2?1&zbzxC^0Gb}MSq>v!z1Q~Y&2qEZ z8ZMYE%N%RPR#Uf5)9nKIeLB=V_>$Y_8U{0AXm)l~rd`0vR&ExDWFF(4_Rc$*LLoS{$WP(;5#8K*&J?j>ekMCT_2 zXE6rDpo+yH)cM~^LWBkeK;?lPq|9?D?{^ID6!f6;6$g~j1uoS3oO#FN=Df=Ka@uCB zNixC`Ixo+cSF}^9=Ka{9MfRMCuhDrLN)LHFwFq97)ig|UKFYh$=%7;esOTU%04JL+9yAq5UN$jVky+X+M≫qHK!S@^%;jKs%7%% zxn?agZ)kcfwhNRrFCS}O;L@=@KFeUZP0rb{^hO<4YV)xJ)nWWspY)3&0_Fk9@^Km) zZVodi&02k#tfp-T@Nb}chURH>c6Gv8;3z~HgFk8yb^PKn`@SFvd-mSOfBvmC6I9>f@X%x#V!|?T(d{5>D_+@inH|V zI=e=Z7$D0+)e8;F4kofgVtOYf(A?~4%7)?<*~xWR3BT{rE4TE*=edX}<%e^)&*@EN zcl#O(;_RtAq;46X@M2TL3BCurD5!<#Af zflOL1E1lM=pLClno#$Do;pvM^UBdnXVlk@)DwqOTMDj5C z53K+XJpe7;=zUv<=Azo9_K{K%9^2jrLn&w_*}*Gf6ZJJjy4lvCajZfzhMZ6UK!i5I z*)u;vi)^)Nm&PvlCRZRY`|wL$!b)+i+%^9cMRFGX z9_K^y{zc265Jzu#gYc$(acBm}`;+qEYGFc*2jO!*g#+yQZY$7Yxk%rnVHPL5kKKmn z^ow7DTAp;%m3lm%VRk!s7%RR-Wyz78yv3>gtjNe%qaIL@GMo-j26^0!CDAqC}D z(?W~OD0mc;f8e+ZNF$td^kLt#X_qV7{EWuIeUAylBQ$sC7JHG7gB3`*m{iC0#QA`G|ED+Hb^mG$yL zy}`Z7QTN`Yp_vt<$?cvif(ojLxYcYaY9{+LvAc&|Vb8ka_o?8QzrFWho_WZ+zn7VM zrqR%xz}l5OhjiVTzY6tfh&M>r=p{7g$M45EXoHJ9$MUlqo!G)w^{w@~ND1!LelMXe z^w9&e)HV)CWnN~vMHI0*>LW>)=~%aVIY*A4;@PpdJB_wC;qq6^7;+SNiY}NxztQb) z^&3kYosz7)9k9pxEF3IC7Zf7B`<|-??(U5sja%^<@RPjkJShTWg`+iE@vE9$8Ql1F zrVlg4vNfqlC8YQ17r(c*zr@uLNV{8+=0B4iAFDE3&0Qw;Ry_N-o&yX>!P{J0M+vxd zrRy4p6*%wqqY?Yqxbb-=^yPe-@80CwW*H~Iyn(U6i z!G0OJ(ZC7IeJ7^Vx+W1R*zyuFo3GxEWN&{)z9{`}#sJE6^CmdgPz`CS3aPW_{JyoB zGq{D+DeodDKZd(sAmQ+ZN|2(wD)!?gEMLhu-<*Ag$*ZqJ4hcti_c?PK(A`oMwCe3m zftiRrdX-OO0SgMvTaxe8z2E+--^$#dG?!?qo5n)`cV&L^%oAt!)&78q z9dqr$jPXFkvwT_Dt)FVC&AG=H3V{8ius}=)#jE_Rubm!8IL_mfL3rNCC8}t)pJ7 zCBU?9Nr`XqyP#svoOm2Qk?e(k{!hPjJ`!KKY@P4h%db_~F|VMB@#{WCp6FK$;yMjq zj|VaU6;+uN4JW4Y1{Wkp%KU0CEVnuP8rk%hdQ}Oq2A}r2z2B2j-*)R+ z)cYOv=I;Fh{!qE0LV~=GjBcPukS2>cx7X~k?@;*6uxdrf(gaqO^mA;NIp`}GID48y zF`h$OtnXynUlwpYP)>)IoMP|liK2|L>n(bOWz>zrl~fHGWvq$I1wVb4u_;BB7|L@O zItdMtiVZnxQ2Q2ylc<79brXhyH=PD^GYDJY_i|`XLW8SAr?0K(m!0;wq}`q$JSz%% z5`(xanr?y2MJ~Ycb;Ax`@rV%hX45ZJe5$r1*MxFr%OZtEebDEE;v4Fp09e{{HxClQ zUt;1JjntG)!qGjNpX5KC0zC+aGlIS_2AD*elc>_oI#?x;#+d_$XvId_bAJateSf#vPR4O2jPJx2D9w`$1;9eKX-5Q|CVqQB0 zlqr{7AR334gZ&P2;$WEfrRF1f$CcGzs6EU5y|PJgPu)tb{+L%6=w9u0l~Ht4t-4}_ zXwS98jZdc-KHH-eBZ^8^l*M>@*2xZcURQp(t=$&RnlaDcXu!b+9 zb2n?W&63CmF3IE1gSlGi&LveC zqRlv$6WCCbs!2$%$1z*hGBrrkBEM4)+|iR*`cgd~qkCVk(4ls6A1(80c(s=xn%E$M zzwj$8(rzZ*3c^rZ%jfb0^WtJ>*}f>bw$IyiO;fG>_^?U0E~#5P z(^1QW&(-%K&Z=fkxB zswNlLxP~?|8Y$4&@@@nK2-!c2XP;^PfTAgR&_Sra1ajl|@Y48VyRgqCee%Y@&l$nC z@1CCs!DNw1*Lv;Uewk%xSO~l-Ju$zBd_^Ons>=G+?i=iKkKjwZ@xCxC5ZyeEZ(iVjw1Ocr*^Kif z75Nxr$33q{BCQHd8QD~<1SQ3rAFC})6YhIj>F{ZMdicdcA&uYX472f4tW(wX-zbye zwzP*ZYw}=l?8KIwo}C`8v=hB^e9LeuvHN1Pxv=+EE?a8vrr3lf`ZcLO@Z0-brM#<; zf#!rEpaGRC^`GJhUKoTpU6Xcw&b~g4idS;5j-Kh?uoOmfXDT?`$Z*s>dsoig>-eMn zZE%AIpX2H@d-l>hNXbN+Z=TQZUD2nF$k`QT5HJ#2Ni$8ceWIzquuwtNAaox~RInS$ z-gm%nR2h4=purR7WIH_ly|go`8%^e!=Ry9j^lS=dWw-s3_j&In0sQYhJ$o|rQX5~u zUB4O`jkX$7Qx?*~P^`(O?57ih ziax=+5yam}l50*oT>Yi*7Y?R$s|U^JY9z-QziV&l6Qo~hQ8!r~qPA%1f|k!1Ut+EM zFMh*d<_9HYf#h}t99Eh&ZAa_i%%-MT2FSFa_sw^>ZUv|ftzK`3;fro{EDJfk*mtSH zRuveQ^YMXCyh)0Dc%j6lcpbuS%cW1s#og5(fXZ?;2G3x{i1@j|4zumYr$SfMkJI}e zwjFw@Ld=&PEVaZ7tMO;frR7?YgGLD5Q^Pdx8ACoe{EE6U z_&}`DuFIk?_Oe5n0LhrVpDMnQ*6o_2$kqep(2_K}&D_m5F`_!mEwDmTib1YzdK1lI|Qg7~dd^PP*K-*_~2~W>zmx#bX)ASJYjMK|R zV0|}mj>QS(O2CxwP%i6jRPiOP?iUIzWHa}0?!hqxMJqa4M-aa{`Y~f!wxfBW-ZB!q z(xl3ZxAd9=s?oa|1R=62Hhlikqs&b%4SAc^Ks&N=p7p!>w}gZ=BLirUbYKBH8UgjUG2$F*`M!B zFOBQvA{A{pYSra>r#jf@PWIf-H4+DUp-*HaP<>-}f?T+SB*<`tOiP@XYRS`yUMQ{U zN|kt)b~LMDbx=MW$>=5j#Qdr@<3vrkX>qu$m9BW)J~}a2fDy`<`BGdw1ve`0((o%Q zdgWkXYp~hk^DV97w)G&PwiR7g|4_a%$EoCm`lODQ!uo6xmpn3|3dWc?G>i1qYff|I zxm8twBR9KJ_wGy90^Mghxq9;P<9kf`p7H~)6YYht>h+m<#tk~kp(6Tvp~=O-S?jP(+u$cF z%fv?#7Wjc?-@i6Up{4enoq7?6F41Db;)e4#3M&-d99e32m$J@hTJOJ`2soXglJSec zv@X0vh!~VI8b?T~WZz7Ao+Z9BLda*k{{clxrPQt1R0lt6&{Vv`SuQElz=w|VvVG+a@GWdL2T(f!(*e=z+;h53@G~*dul&o{^H-Wk zFLj03m8EfPzV&RBBUrm*@?E;ws(U$nNHvqRdXd8?_AGJnPS4Ba;XPUVak1E|coBMs zm&Uen5|p^;fg|UM8{@SR?n@glIt%iN-bV~vVb|Pnh~m+1`mfMBxR8jo9W-k0LYwLz z(u9&pc{mm|@JDhkxt9;^{APgLVBcEGKVuA_ew`%t9qSY53P$2a;b3lwwTh~Dt_Vi= z^XjV}w%u%Q_*-()WECIR-<{K(2smXgdZ8M5+s@bOa!1OE9N%Y{A#}?~eO=}<(Jgz@PUV`~X8wc!bMc@@BueL;=6Oty0Fu1f5a`>mJau{XP8T=WWUcQ`vl zBYqwckCXo07z@5lx%9$;pZ5YM_A^wzZ_e+^=oEt8>sjx=3}^LKhQ-Bd6LXmcU->au zr0-EN;FY+uHA&5zvrVe;ga_^Iws_$k@cNq07=AIHef_lE zZzKV`6pt|fn>&t(6tb(#u!&qUM$*YPLO5K}Y~u>~xWt;3ymb3@~5riHAg6@61!kjJaketi0^b#h|R-YOhYXQ_h~SpCyn5(ChV1NHTfG@ zN?(DR(Cg&UR>bxF!ki+shA}5^ZY(WghskJCFL#;I+gu|)GZmp^l@1-f(JI;gC*JvJ!ODe4i1MXeV%Z17`!ZBVA zf+~>Tm|ETJYc=rT6PzL{VY*I>q`i*}a zGfKDHD>;ll+sI!w#iJ37I@QgEp^^wW?2ZxN$+SH4@`!i?N}N1L39DS><0wkELPoNF zU$W)rk{0Ak1HOEkpWiU6`iTSRot~*=Pi_%C9)Y^4msn16+0TXj#JZ;PCFI$=W^M%g zsdyA~9%5_e?l#tKB2=V)eZ$3qCZP{~zbRL}yn58-6_%|fqzrAGiZ|a~N3^|=5bbld zUQJ&^?#;N|Rbn3d3Qb4;F;r+s{TJ0m(4m4FJ1`Bjz{%uSlD!eH=)vY5oaf$;dI#q+ ze)pM$Aprf;bEvxKoz5TwF)$Yv&Ors#Rm|VtZ z^ACtcA24-g2E|frhQ5DzOLti3i@iJAA_}`l0*gzfkGE@>H4XIx7X<-^DjW3ki+QQ0 z7{`qr5jR7;`yrBe(}a}5p=Ri0_6?EN(EATdNwm9 z5(=XzeCyJ-KCdlRO(^Z``&hb@(h7(nrQIThIbv~WE!*RvHJKr0Nufj%eqf?cImjZy zUr?ar*egqZrbKQe#YOFVNjgnB|K*qSOg)=JIx97brJd9y=3Zggx~;ap)4_>s=cZm} zaA}Cu+f|0uaje&pgKMWTfoX2D<>aZYE{;2?h`9JJQOFjhb;^;qd(vQZp7nB$GS41+&s+a5c`{3 zuFPE!wnOOPR*A@g8un1!9o@!(PZDLd8t*HE*f#wU$CF|`K#s>!SFM@GcV0O3DMb!-H| zxB&=g)tPCWX~u~B4;V`kl#J<7v1WD^XGlR(Fmw;*%6iQgXojZ2_0BhYiG6jF`q7o} z2o$z0BdHkVh+1A6`=&&@d2CJ<*z%j!Go8HBb08!`V}e=x_~kV+$XSJ71j<^MYz@na z^!L!uk-xMBKZ4<0!Db%MP(*yDw&+S>k;MZ`UK9DO48w=_)0Ac6E4xJvaWeA@Rk*Pa z2CIL8VoDw@WA-N?ht1E2=~*Ntt>TAs77LotyQ^q~YKD450p?%v3Of(5wwRvHE;R?! zmENdG<2z2xP2PP{{_0R(+MzRZ*v_6dGL?o_5N5tq1_H@|fjb`VVt?+c*_bcf;W{Vl zHD1-Kb~4@;wP80p;dn{Kn@4T7hClEOH^vHMr{RH-|1uJnWV1yTX0$MJGK#Su_Tf7E zSi?Op>Tj^6BgOCNbI)nKbLpzRgq3Za82NP##CJ(JdUnc$-J+`{=Z8E@wv`CzSPRw| z<OdZ^S8i^q{%dNRL*5ww)L=%nBjxvEuM|_=`ABr% zF@wDQQ<3(Dh4C97!$T2Njv1~(%lVcS?oI=#j+T^f-(tiLO=F~-x(Zn~R%I3Eo$D6RYWC_x#Vz(FgQ7RB~q)rPG&Pl77Rmg2P{ z=MJ5A<0;J8RYFWcn!=BzH?`ti09_UuRxBd~PF3D8TQzxaw?MJo4T8*?Zr{et)cs|J zN?koW%}VY(?u8XbNR!#cb}g61*S5}qeco>}*2FLz!%LNgn(~p9VD`7Jje8RIfjN}z zJj#(R_0o&($b7%7K%`b0@69Ys-|7bupC#|APZyFIm-4Oym`|UX$f!0JWv8Fwujp+O zjh#k^S`59y0@Jn*L8=CNHW#N228+O@yOYW+jj* zq|bO;-8u*S;j#Y~)!38HKwt6miP_l=^pI>Lu)@PQpvf$zA90W#cDHox}*i;??FkB$-yI<}3bZlm=exY+gT z5>Q@)VG?u6+g69XdKi$1jMzaj1tIWDRaVROtv`l!#?IJ!*}#kpiaucnFa%oNVjDKu zpigr3?2@bwObm|8GgReVhPnlbPG*b$b(7nxi&y}hKHH;)4oz}mEwC$TsOQEHhd>2p z*JKOvl7Yn(Ha9P;fHAD_2)85@X+w}>qK152Qq3Mg^(|Kztcizd8PNG^GIziz(Eb&t z&JFOQFd^TH7;+l%F2v|9QM9>(zM`>5fh?#xHg*@0jC(TJttp3JmM+Dl^QIEII$@cP zyk9TmJ$kg)w#JTRA^`3bPn0|}>6EZG(f2KIHn>ACA#G14@*avH@x5~#73LjkIo-h~ zZfK5TS!rxPLLfyhlf5Av&?VI?b;9qg{<2r%(%%V6SjSd%t~Lo-^4%l+>d1FSzxFlV zwlOd5Z2U_3_qZvAwnP3(T9s9CaW-C?2C0@x`tfk8lyK#9TWWGn`Rjl@*1Mo^Jt=_y zg>Xw$cvSP`jK!d3nz$QcB?`PR*4yXP-gTq;TI4-S15JW>sD=%;M6*+7aejY4z%>=F zB?xXJ)hL{?@NhL574cTCpHo(M65e^mH=lF3*eequLO1vd#; zIzl(@cc7kdC**kl{bX*2J-Fu0Sldv1Vz}uYcH)~D5lRpHB11C3Xp&e^SBnuUt?CH^ ziF8rF)lCx~_nRL>JZ+2dO~5`uSUN@#=YiSueF8WZIn?Bkx?;1JJ)76-Yp=)M!7+8* zuu%hpg=laI;SXV~qRL~1_R?aft*dZ@*}O0HBPMF(((=EmvWdCB?I~Q=DM)Kr-0%DH z8@A@2KKau9(bYcStm(r@;BdtO1H|!&O=|oSa1GPNw?lGfXVOvQp@L4S5x!FySkxQW z4&T4FU579Mn>TqKTBTtG|3ZR?Sg$`L9X)i!Lc;N4xA`vprw<_!(aIuXD>oO)rBiMc z;&JtCO<0m{B4JIGBjR%OdvugN;e6MSpHTlMEZzb$#;i#EW{8Lv_dR(3CK0@Ck4Sc+ zKJzsn`^{T!O$KH`f$4}>Xc9l(`?(y1C)lv-Zi$MniBm*8u}`PcaXD6)rw8X-6I&cD zCTsU<{4yP^9h5&7^T9qQ&wu?bSQ8h(_}p=V8{&^7kLj_1o7AFPMUzy`%LN zquzI6gKm=-h}=JKg$>m)Sdw&Lx-$W?@z$ZcNjp`8!!{F{i4{TB@}tT#AUr;0O(=AS zJFA&6fg3yHbIW$fm%f~-w!e)Y-luAtmuI!|YhWfNj=JQ=KPv(BKKd!p27jdNbH zz(QkN4QeAu%A<(sWo9T!OklXywK;}I;hmulO-Z6e(e6}(Ks*_bA|Z}u0rW950#mR` z|5EoS`sx{KGv_-)zFL{L@V5TdBAC+1;`E$^-wNEf5Pbz-(-xUMB!pK070~XUJJ1%1 zW_#LS!UsY*CbY&>o+vG-%`PD;k{m-!Uz!_KUKddL;nc@SXT)0hLwp!*z%!-{Ii9Y| zWs5mqyJPpzy7QtIyKAAUxe{hv^%(O&)V3E4#UPcB&ImbEP_>P}~<)#o<7fd5;7!d^ury+y`|(x|l` z%28q=d9`@}e|aDdobEe%yUs7eujzSDU=h0eVJs1&5)?OmR|-dU?EihvpwJ7qa9Htgz*5IPwo z^iQJRv*CjoG-$C_44;d^TBqR2N*!lADY>|bes+cUpi;x|+FGEXz#s|bl$B&Qjz!(y z50IMBTe>D8`3Hvg`UlMsWmHa?B-WA@+(PtUY$0eG zNKQBZ0`D8yRY5mhtw|Xlm^*8Pb3u$v*`klh?OJw2LI&XfZ11#^JZIx;ahOvks}LLh z5emUE5x;b7^8_@w!e)AG#@)*il_sM_B?kQESnGF*>vvP{h1`@Qp7&yy(D9Kr(@b~Zl0N!l8DW~G^y744qyvUuZ|C&*b z2IgfH4zO8G_MK0Rg@0%O6all+Jax2tE81+>W?(f364Sad@=BGRuUH1;2*Rpeq*38& zbr7Leub03aK7I5?Rd;szoO1NnFs*kbxD=~K-c_VO<|uZU8GA+T1Lj?MrO%<@Wi~u| zOgH)(uR?g@nVl8gOMj!*O)OL{NYPrjm*zMVwzF>}|5sEsiN`u(KkN)DW5=o&QPc93 ziwRjqzL$Bk+ZH`e$THO=1{kw&6&MShk@g_q$%`2oxtI!J z=j1QeL#p?JK~*5(6x#Z!G#`LIfOIS~2Tu+p^8*Z>ykY2O-?-Nsw;*-~6Z`wF)cMeVO3ax3UKI;TI5+NIDhLg9W;WuSir zWl9~|w#c+H^`@S*6e0x?$h8lfI6&Xbleoma+d4YE@MPZWFazNMHS>+ zu7%&mK(B#bs^kIh@z3q$SO+;M*2t7A;M(cxlK+ww zyHCAnLM^W$>Tp)B2UF=x^I1hGs`Vw7Sag}6A@#xy_ z3?Tw*i!c(@L8*eDk%le#LP0q;3$SAHHXGIr)2qD*1?|L!F6oIzN2XQy8bJ(e%krIr z)nH(->Hg!eAdx>xC%9OG5R&|w@6Fi)m*Bc0t=Pc%n{#5a&I~7)s8+p&pj9Sd#n>S# zdL`!Qc0u_MKLDVEB+R}@t*}B4os>6?S`J?l>Eefa8)^*{OLzaw=#i4)ywuoXcDq0= zpwZT%&DVsnm#WOOy2z!7bzj^-enui=!e9}36i7<)nIiuZ+$@g1b6Nc@ z_UHBfdEBb)99@V$oL2Z5JNQ-VOnj6o*g;EJbv)>o?colOH=I#!o1U}EnWL}INcKn< zmH42*aOiG_U^Q#%qF&1jThtFRlPN5?yM|Vl~TA2g)z)VS$AFea4!EfB?S(74d>M8x=Pl0SYoG8Ub(nGBo~SUf!~VKG?L*rqFCJ{>Vc!yk`3KLHzc0Exlz*R^A~k&2lfOd7^l_@;e9_Y!6a9 zLd}P1>0Im|-4^uy360HB{6CbSI`WqfZSq}h z1Z!6oJc!oiK5`rztu90R!fP>qT(yD499u#92K;rNhWdX>m`}U)4(bCTz&Qw?w?u6A1hax8Khm}XgwRgPVvR&(Ba44gu%7=+H*z; zmb+{zdfLs6Vg_xXb^=Ay4y)k_e*={fldgE^l##W?Uj<#LNn%t3=l%F27x9S$w zBB9&rgiqlFEBhH$K(@q*r1PuSX$w%TLuMDJ&SdmKdF^I;q_LT|=|~HFzj}G8=H)~g zaG)1hI_m!7Rp&4}kUL-LNg9?mwlQ93HIRdfHcCY61s23wj=qh@6mm`xGRZKL;RW&z zaVextcghY&GG^(xFd}JPcJdqgQ1X>P!gTkz<4N#fb9L5;`Rq}h(uGa6eDNw#+xYnV z|019mOZM7VKlsP6Xcq{C7w7Y>X;E_qF&kY-{aOsfqsTtW`{44?XR&i)9ii&e99G(l zRC>>$Y8+0o(R57;!YvJw|bI6l>G&D5Lw^5m{xp(ghvsv>ojAc$3# z=J$XJcJD6<5c0Bw1_{O*2(i}q2;g^#3|_R5Wgn)Q`OOaFrYIYyHt^r15W}$81#{*>heD2?T~!R@ zP$Qs)(xlY{WU+Yw786JLgt%mD=Jre7<1eU$7tYI3I(8l*qeF3{&5iYqvC&EIYbo)Y zK_ZZ%$*myA3*3YXf`x#BE8HOLDwRQ&l74Zh%Nx6$&1(q=mW1a7^N1W^b5H=!z*^K+ z!KY1yK(C*nAm$U48%rOD0anG-Or6=V(*LKZ$61V>B$_I>UjN5rDuYEJn^%X={OOz#b*KqT{zP z{#1r6a;JWOk|9|ee}gRS`B<$riR;cnhoi$YPh11k*c<6@NZ{5=O6S$CAlShswVW^{ z+5G2N+-b8M@JopPvdo;lP)0c_pbUQ4EG?{-oU!A1#$k+i(cDI(ED=o4R}}qnk|)P*d-r3Iarw9K}Sk}Pi zN0Zl35>Ql>qZX18RA>0=|bJS)dfP9Xz(aT5zO^PeC)D-7U2hxtz$EyI5jC7cbcEsO+g&8$uSg9#`(o7kxS z?C2*&{r_zAW4Zk7!`#5}KSVqQKo}qjkOjyClmN;A1ArmG2w-GqZD;$lw6QS&7z0cI zrT|k5R}+94z#L%iVQ+3?3$OrK18e}c09y-N6M(IYjiHI7lZBZrzz$&d6JZaq{||X@ zZDQ*D-)oNlIaz?ciKB&`F~Gsa&e_D+(E7iU|0nR@IUE5_04ED~fHT0^+|k4Y;Ou4x zZ~?di+yNc{PZLKw%KyGfKO@nY{1{q4(8d3o(`WqO_U3Z+fPyc`Eh5wJW z@FR--|F;&v<+ZIA!C`~~Iy>QhZEa`oU32#B!ChbX(<0II2X_8UU{DDCfn2Fhy5k8MFkjWm+HgKXQo6}6@hL> zdPcRyZ+YxPEv=PRDqwo(>gtkTAJZ(T_dc=C5hT6mX*=NIdw0i#^YauT?x%#x1c86?r z`Pc>)?Q+)@_~XY~;Q!XtVeryw{IM1sfP1b2DAor4_1ZK!rZn6GrBiCPr)hp!X@8Nf zzF5s$SN9Q^c$ro9)?fe;Q^QVv&v)CTmQQa!?SHT)`c?AVO+NG{CFm=8HTJedMJK`n zIXi-Q64iA@qu`K}_hmlW;7#-6`^hoaGvxfea$L2cxpQyjRVW-hLuZW(9N}qu{FH2tp2tbW*zaK|x?jXDCt-b{8E;aq; zaB^q-kZ&>(ULdrRt$FbsvFmwy+cNdf^xNM1qIr>TPb*@^4|j*rxdoh4>uYe2 zb|g2UsMXXTjhIj%o zL|wOz?HIAX2HK*t5{1;+Y%3cnt9WBsve@KN*VWR^hu>eOq+T56MecLiRPvpoZQe4vvB%wc~*#R{?LQn zpp-(jIiCzE!h!iP(=E4A*wTA6s=hW*GoeeGihH0m4rdf4+T^RhkE;?hzUsm4h=nO? z$j%w%gt*jxk*N_?HLj+(4(18Adx-T9#BLkDW$oRgwwcj|^_0$A(p!i7;CU6xXmM%B z5R$T?EpkU>_t1E-peGX*hmIMhxex@8qhg#h61Xb>&GYkfPodbu0DXiRuOcN<|dkJ#LUv?-y zag8I*fmyt>)2GZ=`P7$lKtkP+X~JQ_>J|JWKkr}nQJ?2cH%G-^aL+O~k~*it;yy3| z=4l}81C|mg!-z)S_cB)Ic_4?&qEHES?51zoSx@Rua_h2yq*dKvJF$}f0qPJCuV*6h z8&(XwWj7t6lqtza{>A#gE8Y#;`eh33qv9~E&0AF6vtplm!_xFYqZ4QZftNy-ZPbx} z`aY7vwh+N&_A%6gTPKFRQC${?nR1c*(p4hTN#CR=lccjle3_lUi~eXTa6%Wv#jzWG zYEIN7C#|CQGqbv^Q%(jAHmfs;(Zp56)Y5xP>jKaYg2V##O0by2K54-%sMCmq$`n>R z-bWbS+H!bR4u`w%pPpFZ^$lffae3hgSCAtGgpG1A7AMD^OxO&N=AfCl^#Mf>d|qRhl?l zde2S84GlTUO10i=CmG}ebd69coz+%x2M~3Ul39LHTd*qUd4i6xAp6GQhDE; zgc@i`C}6OTrt``fWqLLx?H#r6`%n_*Ngxg&&7p@KX-b|Zy)&fh!g5I6JO$Bh=L~{K zY#!efDW#x|x2lJ;SZBb76NhML4bm2J{CY7}Ah z8$}oIH#;s#p&e!k6!HnA?7MfHSAcV3^lU-ww>4dC(mq&o6HxLNM%mKyIb>@Ct1n8X z!cH*EYtC=RAk(A434DvaRNIGh{Uh=d5T$BBKT3Jv?28C@Tt6{l1t{}dnFt{*YFfLz z&m>d!U%4cN(=-WVrK6$B)UM|F3LpX7ZP?v|sbzQ2H)4Q1MGje&?gkV$aFh;@5#;vl zRSkU1je62#E(@tMHnma*^}X9PuId#0OQ|2$nk0l*D*cr^E7)y2`J$n%c5rq5wNd+erO286C^*P6|@@by$?x0lEh*cjYct(CYV9-;y z-8{TmtaY_5=WP;fUm;7!+jEY&@KXS5Vdg~Povtgc1UGSoh340RN>J#@lw$fESQ1LV zc+b9X%giY@Op0fk_A&8F-_fC`grw%#|6Yx95+HEX$t(oxNJdShxyF^y;sz~|Oc4G@ z*3wjnEaPV}!_Nf?TT2>TR6}%Vv7S<6VH*`E7h0C15H@Cz_D7qd*TB-5N>Wlri(;jGFKoqbQQoheJ8yTdCNx&CwN~+Ex&Rl#IiczOMiH^c< zUGNmVz=+%%!op&_uT$+K+kKR^PDAe*GBeyq&So`BxH0vE)~f?u5XW zd2&oXAhQVFtO~l~KPD|N9bT4Pe{aMPOcbVOuT#jfzkc7WSCjY%1)M+0!(PU8+a{{i z-%x~`CUjTC?zU~fHS_xUTsysR4?HOeo+6m4 z(fDI|H;S!`=s|Z7s2ab{n*4pbLLw6nH@^GbekBLD>ZAE+E&Q$vK{PrXW%;X8IW7tad_U9Awf6CUL3n`So+t z7meXd^vu}+VrcjLsd{F-?#5)ano$f_OzFxP*s&St;oqfPj zV!|W6L=ZA^{VB~2>7)YLq0up3UuiQi*4n4lf|BVR?I(9yyp2IIoA~8TC?r<(;oKr&_p0=J&a=FR70COVR8&c8+v?_hm>mW(AXmSY&rB zaciNfzPR!!q^&Hq8o`{e@m~JSAp{X(nnI7ahLk9?v%$&$l=gkr<>SreAazhD&7rws z{6mQj25uam{8f%k+h1#aGWJLj>X{E}0z6Z8`;!kmcYg6evR(p{nxT+RCDhgi&>Y3gvc-@Zhl3mX zR8|K=G#0UA$|his(ixzsPAzyxCkdQc1Kuu)ym5Z1yz{Z3Z5h)pkHvs!c-hFaF_eaE zpGD(^?jdzKH@vq&E#8aA2HIi$*+}J-fhzVj-;W$KAfx~@!!0~gkkt7-haL7HRMj8s zmu;JR&mKOAWPEvGIOtX?xlefPu0KY99^}0MIr1Fz36)r~c1EgD*?PIq1OC%2>;3nF zn&UyA4w_Ji;LU+)117IAlPR+Rcok%oq}Sj8A4E%K ztsAp$y^8q(xiSUkcUHJh7yr{u9tx~(eN@kjfJD<+od;!7Gp4M)#kbV;B+Tn*ZDKNo zQ`!2T62%s1h9;tDhik-!WoCG@>Y+gFMa<)pstHD`yUbSUmUSwpxRpUgp}EoP2dZdM_0#a!fDXJ6S$+wsY_oEpX85NHp;E`sjcnffWm)K?-k0x@m@H4 zXha(I5Jbqg_(#;K6qB|1lXNsHlsgqPPv9gs?it$WOHLEH`FCI5g=#6;V6C;Q4luPV zI`4HN8sbtxqsn)RH})(-x@x=i5dBa%m3KC{cjE!L%8(2@4v< zR>7QYpZbLKeofRutnLou_Zv*xI$P6$J>V+xIxEHn?v;QsoY;gRhx=vLW`-UW4T+dV z6RGN>K`s+gcA`qIJYeX`DN?nBR6!l_Vs`rywXTHNF1z}ESM3ScIa6q8xP7?je*HWd zFVkjlV|fGN6or_H3>=T}Py*?>L|0oN-6rhlUp zl`hb_=6!G}gPK~mP@`LGapQk_-Ywn2AFZYEJaU0PyCbA<6=|6=6HjZ^8qaS!s&d7^ zj2IJuM`g+xVF%0A!oOexV(s;de3mIB{_u*oX8se8glDb!J+s9$mqXp_4k0LxR}xai z^|(?Wug^mM^g1zDy}BO}E(hZQQ%a2uFC^IN;N9H$>EVHd7CL+47z*4}mFA2bzbbfa-S+zLTd#NkwOz;iz8& zKlK=udThar%B{|u0`pJ~sR5I*1#V&P@sTCj;{)4wwMrvJzQU*l7;8Xx(!6s<`E;}4 zi1rd5 z$&gJsBHOqd5@jr@dcYy<2e|*JJPZr+qpRJg)5$F-snF+PDX-Ab+XSq1sg%O8L8O3b zryIBYu&iu%f1ZlP^ws>Rqv8 zugtdwBp#_j$%KH)8Zr)rQWcT3?&(b}#;f=*LNuVXvVnt;Y*5x9jvyL(XseX-~e@88=gt;nr=K2Te}u zN8W?7M<)R+bl&rx)wyaRU9E*>yg}ztq@*@zxv2#iRUaAT@y+|KJ+pz~Lr-ycpu!|g zOy^3zN{$^jBK5zMH1ZAu^o^4pKFotY+*`}MU{|&~TH*A@_XF~|%r^&{Ii8`xX?Lk- zz<|-`Q!FFO4b}5oF}C#8)o8bZoL7`buX8%b-zn^A9|eC<_lM&lVpAvRlz*F9W(*%%@$Cmk0wXMZ1(C2N=iQzR5z7N5sr$lbFQRe@9i&5aNboxHrG+C?=eZ z7LRH3xR)M8+*1?QN!&6gl$+`3tx>l`Aw)2{eByHkBZG%gB|0j?&Mk|+p6Iiify0yD zk`R6+)tZazoKYP*`TQ3A?&wq0mWvq+g~GNAsf6&-)!i6W$=~;_7N%=|Ig{gd@pT}f zKwqV%=g)}dtQNyR?+*a?@A-^MbFIF(!b4m1YL=Zq3kY={VB&nq7r^YP@!EnCH!%pg zTs{A7*Wg1?%A)336n};83ha@H!&30VLh~FV06`DV*&MLzXGXnr+oacH(UFb00xmOa zO`XDX75cP8{g~naz^NBP=2U{kQJQR8rnH55P%{U!ZL0H&B@5I5D&i&merCiZa#^OM zq5;pb4LABOp?sw=!@GeB=Y&BR>ePp})35Jg@gpW}1DdubxfpzD%OX*nfDA3tA=#rD zpRR~!=cFUK2*8G!SR6x@S41&M4(xj9{Ij!fpAKMl17cfNl-lt91J9Hx9VjytdN26= zOa@|PIF7}}8~DMg1s#T--J+woBSi|g#+L2{-UXHR8!ITlCN}Ke84pjSBFcg2wr;4f zLKa)T?N-g3j`O_=4sb}V7Zs!T$+rTBh89hmhzc*j@J*S?EML!cIV zlBS5e@_7-H&waNjLjzT%|GDF@T@Zu=!!UlK%nip6RJD%;#nG?h!I7*LFsnH% zl8992<8jnJNd_;|g2&hO#jb7ft7LDDv-t6Wmk|CaDbL^g9%te-=|>i0((lYY_!pcl z8d?jO1)L8g%+82K!Sj~RaVA!kic#ZFa7HG2XeenEr1Cg&eY~mvir&9WY^360%yhs< z=6pcxXxS@PxdJsCxHAB&qDX&!-9_HIamK4FxD-ao2`~z#?0!g!7Po8HCr66`6I?G< zZr1cb|6!l}s?)wOb2ALg%NSMP+ikmAdaHjM<1isLJeFv+Z><78k3Gw8qW-1cQcp6VlgE^)l2 zxGKQpZ;Xf-^w@>J0Y?_$p_5-K4%FJB`_s7%jpP!*1XqoyUENS161%Y4Fl82&e4gIf2-3VQgisQmt!E&BmB6=dlvW^|qi`sU2 z_U|tb)#f5zozuga91{p}z|%;2nOt)+}+kcMRQp_nG3IfrWH_ za9TBLq2F+Xa%E#$)K}!4C-i(YAZzz=>qk15pl3KgkLPgBaT&UBoa&gO@i@K{aS4H|{ zmpdP-s}-UO*$EvxkN$8900`WQ= z#E(9kNX~q+#HN~x+NmtLH4J1V>-C#C@{WsOEh*k)+e@F zC$WO)$1{u8KBRr)98eq|;tDJO33Tk1zC3KnaMNwZ*awW~2zoZ9T_t#lazaA&irY5T zcVzABhaYxe6V!=OPUSA*IBwI)>}dL4+z?bMJ*2M3soA~7;5_!7U=Nu?heB&Hs*Nkd zK8^Pk4NQmk`Xn+PRCrbnQ`62X>Kkdd!-P=ccU=i7|yFWi*FpDUnztD zuNVa}IZC3LQK6AgRd3G1MDG7K_k$}YG+eDZbDhmO%N6@&6G$ggfSv6n#qnz(UexI zL_Z;tI^gx0X!>anlt2F>zzgK0g9p4te&feEZkK zHI#Y&v9=7w2REH>iZ2<91)tvopUE^koAw!|GPZvTeOtEmHb157V|Ys+?K!Srh$^dU zcUEjEb3?#yT$h+37%^&zvlGWwC_q5@i*d;37lv@|pt||y8eNJ}O8S0?2U!!bDjd%2 zNu5N2SWKi-xLG$8ZkcqoMmiYBCRne1U7vmmEM&fJG7f-l!*6mhO*W1#3sR&gKa`Lv z*!v(vx|rx$Pq{3Kg%XhW%^i{m5(X`cS0Bbk@Pd)Hk{5_1v_#H>5O$GItA!yG22%db zU5ezP+b4ZF#{YF7RzLlq6SyG8!GrBk(rWGKyl~eH`}C;3YHt1xlauS(#xp@ z8!1v=>F9)f^~o<4^N}*n)RFaNi(w*?Pt0KVlzX27za?AHengtErX%K1C1HU_9`A2P7iSyMMq! zpakAI@1-Dtv2(1oU5JsRb{%0{l+v;7k!Ij$>=%uV*eCn94@U=@OCFv}^ZYc+QitR1 zZsJXK*teZtnyYt3YjeFcj%rZstzMiuUUs{^%1PsRVLYc_K&*>~UstNuO+JITYbcfE z!?|2EeWu)zAclH@4ZawJ2ytT|PIH^gN=K%d;h9eZXFI zH4|k3RmuAoj8@BX#&VYm=vTf=fQv_W`My7lhw{v3u3l|qTuZ1-^Y+2-B$Q%QajH<4 zy~S-beFywENJ3~H%3qj*N&}UuJ72(HNkx;%*)BCa6PyiHSp3GgV&q}=v1hI>4T`~* z9SL(w3wV~T(@zZSyW28uv?UDi)NKc5|EAR~w5g1xdH;~%4DiRL_kPU8njgsS%QB_s zz4eTvKY+F`p9Ao_|E;abiz=~&G`bmK3L50QahrR^D9z1k1CSF(7w)lti?w4nN0z>* z0vda1z15VkwKlwqccKJ0{#?1MKP~kh>eVQEvQUma@7R+&T;8rx(O$jP+-N1x!nb#Z z&EasX@0!>SnJkRH!{hs88Rb(?QNj}yEKsDj%%GZ`YAfT=rN#Ntz|ZieD(Qf^YPx__ zST>Xt{;lNqr5fLpM}WR=RY-V5tYs<7G+)6O0WCNxW8XUI#5$w z!eBeyuAb3%WwbCc*bC3EZC?Vwo>tEk5^^GZ!$Q0h`)fHAW=0=y(q~`by;J(sZ%e^H!`%rsdUXh<)P@eIaD!K*n#68*M z-9N88KzLe4RBHvHOk-}BJNK4+6(1(oW0ZEDwcl<dCsJ3vc~p!t*1+&e{;+$gMN>{Cvg{^?1TzpprhTjCQEMsI7vsw#hDNjj z=O#)L>k&6Ubt~v=?I#JPa%w`fRkNE$pi(D_o6p<$`ipUMO*+0Mei|=E_5S7R8{>`X zbh#m4zzui{z(W(`(hE`JsX7k#=H`iYH#80Cjgj|^e-ap1EM@gZPxpSQXBTeY-Cm~6IXf}BPpfN?cunmiSlK|7wH77A*$mv zq+Pzd45B6FJ`M^u0uY*f&PqC~q-Za^^mm98Qi^1K3epnVUJDBTaNjGN5u-kiCFu`x$@R#g_$J|oQ*fTMUHO&XSc+b zOU4Gj!}k_UmqRD~Ye-_(K7a%1fWlCub6kfyRJJbiFIiI_4UzD8OBAy+2tQ6Iel7|@n!{~U~OsSQLjq%$@9jk8eovXwJyI7! zDt6QuhlX*p1X*~DANnv&4Gn~xr3SQ-t+oO9}Or%^(?*cd%Sti?`|4f|X%J3Li@di5^y&S@-`)5Get-Bs> z>k^9V2MM-;UxRYLYu0(My4r6e{~ZvG$E?kPx=pj{Vq_iEd=ZQHhO+qP}ntGU{?ZQHhu(|ezone#`?j+l$N zsfdiIo64%JsLZVAd%Y)}SQS?JK1TjvqUza6=p2PJ&M5hUXWY=FR)}B8;RfYaOAmGu zJ>8yAJT&!$rD1Ijy=^XzE&A<)G!;fX!b9^ZfW@XhOtX_+19P5!+skCE*B_1n?TM@# zsUQh#@83+}t5Jla-uuZYO=pG~WITUtw`Cn~>s6f4o+t0FPkEEZuiXA4b(_UUAv6?w za1nvyOk%Q4Us_O?SXGCQa2pu^5xr4KSW?4ivqsKuNU%B$w~;~q!|E)}7($31q6U{Lo* zY=$HtsOg9DmUD%tby~SUcWx!9n(v#+x)thts>q3=Zi+5i6?#N~>QK+t6>S6uhy*k9 z@UxKwoF|NND-VAV_u18xKC?t2_a(+JK?kXhM71qDBP;>w-W3a`oISFkbH)Ddha7C! zov|)+a;8JZEg|Nu8Tk{O{EJ|^RSBy^5-ukj9KriSI7mML$Osj_(EP;hqJ_H*e>4@U z@)4RpPN{KIFqH^iMI+W}7d4T@Oucr?25-eqTutr}x+_3WvWW5z5}w>eH>^(Fz3joO z3&umBs-ZE`vF(=VPQuj#)VOXfcf((2H*>$uQr-O&@ewYGEqKm^TF<{iXQ*^iWcL;f z1w=1imp2)2Z{^(p;>OsIG0WscDBB3J`-%d|ownB)VM7lCL?GMFK+xIO(FSYwLtcd& zu@iU)&AobBtottu=b$uI7JG|4#d*99_ov7uuh&JLYNehpBQnz3ch0U*=@|=8*+{uQ3kC;xS z=%Fn*(WYV{4nZ|G-FVAuwuq{)b6G3iudxf37`VcFYEjYCh$XZh=Y?NQ&PThM)#P2c zwwirlES(BR)?;;Et1iZ>BZb|%kPcGc&t{q0DT_JxY!{|+*!PDrhkdtvmf3BWfp)ih zr-nkO|33R#LcZE?uJ5I~vnsTNCf4$f&qp3tSa@-6vts&Cv$RR6E}QpX_2;Ud>_x&| zF(jD+Rz2?u*|{+6E~j-bByL7q`|kj1`27=vxR0j~lZc;Dt9nu@|1`%hgnkJ*Pb|P4 z<~Na_G=??{r$-Us7C0JLu-o zQd@0FemLR5^s0#I22GL>8&+h3)5GC1tBBx$nmbpHyc;pDa4iX?CigxFx4#(@4d`o- zq}W7)D;b5m&%(AM2}#SmERaLQui43jND0BQ_Z z3H7s`XNv`>td>b>|8pDR(3h;@g)y_4Zs)Xhygjo3t$2WMLy+7~=Nj%3td$GY zFG(89YA)bW7%2u@53HK(rg6&ea&gs?Gp;?dsI6vhaE;neSP z-P#d|o?|RT+{Z*a)(@;Xo~mF1U{8-hNMP#&zA#^B#YdZ_#1FpFi_eceh}&_QnC_Ba zXx^>=sd1`!_v4y@kc%j`PF)|+SG}rmx1djQc@p6T;ZIU0&(LFc6K`DsJv+*x<(hI+ z3#VzQ2@Cjz!jC@@Eiq5JqT!1=xr2?r37AO9Ril~`zdp&R1*nsXPZ>FpQFZE#ubKxi)G`*Uvg zqtL)6!iV&Z67)@j4%}*~UXoO5HxpaFq2SVI()5CPs-Bh%Xq(YQE4mKCkifQMgOR6e zWc?0np7*8Iw5yE{i^b~2sXMP==m$p9I zRlVmrkS>h%)m~CH1St}fCvdL_lnT>_)&N#CzC>OP)tk+WF<&H0Ff3Abvv{PH^Aj1v zv_~gM@g8aQn-2ehibl;LjCM`KLQ?C5dnEFtBr0=%;>f0+Bus@pzvg2oN<+|U9*^a2 zz|<;kq1bP>#qP=1Hf{2|-9al;4QIDM#K5y}vTK4GV!G!{+A-rF(2gRJ%hD{^gl0-d{ zT2evx08FiUc^TG_BbF+rm$6I-=HbhD!xtxMr=ZIh#{Vf4Q$kVvhsKGN% zLaE#-kuvYoT6*mIk$>GF7t?BB!$L0AE%u8(;B3vOLzhzzTne7T1@L+O;d>nwI@slq z3fvWj#RR(RP62e%q8v`l9CS<9NYa8`em;5u0F%pf$gWrA$jUNeZvbgv1p98g4av>T zBdP&hH?8LTiAz)VX-U*EqdT2S#bxvr6);A(KRyMulG(T;_O#DNxih*!R($>X&*I6k zOJz?1BGr|T-d%T0uycNLYind9n^4+4C`;N2p8AjY0xRa}UN}=M#hlO0UGeTeQq8jD zq+-*zNBW>XM-7eEwgFx)A;4aIp(eZq3E|@8Xb-eWSBNdezS@^%5GJCFqlM}RS z%}DDT>Z~MP45OxqkZ?{(A};qHG4pF#+Uqy77Ulu=6N(v_Kd$|Wjx8Kdm*RNVTd(8g zO@Sd%sZ$F?{>A4~=SI_rEjvU}MZ5z8(d%xaN{>!yk__2w_|u|n#8al=KGXAwJtjCW zPsJ;_>nt~9EJwNoG6!vL^-NdIp=$H00N3rUV4q2xy7D_CFuXxnG;Q$VRZ3P@^g^?oa8^iAr=y^OE);nJBSxi)IQmo2d6 zSIz-3UB}grhxg+eZ^@e=-A$Hz$4-MOR8P458qO|i*gVeU(Vd8W^B19U@7?1f0hS1u z;k4E-RQE7H7{fik$5<`pAWGCV2I71HU^C(d1@O_BH0bP+#&m>dnj)GGe9m2D!D!$SVC99W>F7<3fqUNptCo%EmgEoSSt$6rK$*_NyPIEi$ zQMfml`m6WJk?_^`jQ03;D)P*qj!WaMs%O9Xgmoz_rg|;!M3Q$|b^ZUXt{d1vl$jkY;1s zMmA;SiI5ioR~f^L#TbxDCf!MCfZB?7Tq~RY8UYk&<84+)z%d34WNd_L6*BjR&ARG% z?pI|s?psB1F3f&&5<6UDcQP^I!ace?lYs zM#VM{Vv~sK5i}O*S-_OYCWR;M47Oi~e9dMV5)$MP4j{U6af*s=d0;Xfbd*sXY_(YC z?5g@cQz=C-H%{yFs{_uAf**_%@8h!fEgV(pQUN>}l4O}}TNmQPnW$`)o#uRe20jkv zhdo}c2TSyz>Fdf?7fLHW@qAO7B)NrzDab~b{o2R*#Y#rNRml5zNu$=YK8T6mn+EBJ zzh6ddTN(-D^N$dMX0k!o(`R71wEM>$!ZzNF_6ek=zd@kWG@U&Nj;#Nv%$KxaWX<1S z&v_ES()A6}-~-RB%Lcmva?STdNEdjje+Q3wam;LQ28!PNR{UjF$)DU`dWy^k1BB2VF5v*S12=~REP|1 zfYTl(18EL}=&{BX*8!Q~rI$dXr^bSZuX8X)$v4rZ_;xUlRepW)CRml(o!6*dusn6+ zE2pJ^mq?Y&Tv^Kzwe>wZr=dP@Mk-|?p5EDgmtQ*A`UO~pUg%YF@qYdKC#?JLk_i7{ z-fBS*Q2Tpxod@mk@c1dH%GYw?nB$$vtRCThFQg)3LwkG*qUm#XksaXNtmkY<#p76WaS zv)muiVb2-9fA1L2v5;9F%r+1&d$_(eNVl$+fe0%Ul0{7Vkv4Y_M4o{$J!y7J?v%jq zrEQP1nzAu&wWekFM7NhC!(JzsFntaxl!%Ryl25nd1U#~L4Qx5$7bIchT0*OnKV=)e z*zAd2j&*n8P@>~HR>Z{J8^3Rm^$w?@KI0O;K!dU!^O2J>W*%Bk9A;v2+KsPEI!tM} zu2L*_N1J?p*^=vJ&-xZxD*=Y97Ynt*{y5wD?j-kIdkvh}C&lR+%BQTagi|mHMmC2f zjXvfQv^^=oF~@DCspaH;{ZLSwc)1^3%drL}!V z8p2>NA6J$3${vl2zn;tWTo69(SLtlx`Ql)s9>fdE8&jUHkZ&glK~{wKrsUlvSdl-O zCzni#s|{)F2J)WE)IHgw1tJ45zBw-!f2VG40K9>V4a+AJloaIU*6Y3Ay>H&A#N%y1 zJo-|EuAGkeaxF}PP27QNhLFhL3PatJ#jp>tyd!=eidze4Enm6@wk(}z_eQxzm*x0S z_17jmg(B8SLo1;ZS;%v^_=?kX`*>YE)dotWjLg8a9I#SPMdCVf-NTZ(X7Wm9AKOc+ z3F@7CU>R(NUJ^_}mwzvgolrxTnhAh~(N&g(AH;?}|6GoM^a=rqKSv2S(?T?JKgknC z9`tPZ3xb@(1qj(lILw@5k@IXy07xPa+jVS&w?V*(={m zjOksz;p@wGsagq^DS0HkG#Kwt0!`Jgzf`OqH{7e1>rAe4e*p2Ak3@1Yyxm>;kqq*1 zs@yekj_rh|dc=O%$s?@Q_jWOU@v-&VE|;G?qnP?I@=m9JB#1*@&l8xpib9Ia%5=j>1X`HE28@yQe*CyX)) zO#F#wxISCoyzrZhYF zr@vNE(@^3c7i8vYEgoqQ^K51G-gZ~3?)W}#w0NEs?UCWD1Jp{=iDnLwW}II)GC7(} zT`VF7o~eSRrBzw6HJ;SKX=fR#%j>+hDbp6*#j$3sMF>|;IHA=PE|0X~%=AM)ZDQ^W z80HssDf{vVjRvII&4De+OUJfDXCvW8QP^HH%5##Lhk?Y)I$@|k%-e;Hl*$1=>$MMb zTGaLa3_K`U@xE{B1BpJXeWpGxZgBO z+@HqCEBPvbHSqIH7E*8r zgF)9yXHdjD?^|ua0Bt%PI%ZDyCxMUAIn2|e6SxV3ck;H9n`8pZBrsns z{tTTfPt7Yy8t=IR7-fB2^nDr0#dkk|a?8J||1U0^{nrTF8CpVeb3@W8d)WW#>;Kth z%Sg*A3QPQNm(BP;xNN5Xa@h>OoccGJ{r?x2P0#T^x@-YDK{}ydoh|a~v&HDd=_Kf+ z=%ndneyz6Luhv%lZ?COFr%II&N(6j$v&Hoc!GcqzU zG5&uHxQ}iIvd6hxr^ViIRkhw|wlNclv8fa&8kWW6ikVwAUrdj&VP!w_eQDYF`udsV zNXjtQVXNMlfdq-D#|#Q@3!;#p@7qoZ2ua95!~dH<-Vd#BYHDO`Y8q0MFW=(Y1oEu~ zEmi>G>{{nqd-65GKZ#+!|4xg<=HSz!WM2z5+P?Njef2kTy^~tIb8-?u)zmcihr!wY z7$iKaRVxb!86B`5_L(1z9g+b|jLytTifZMB{^tRK-$oQje{XNE@F$Ine-QT6+UCCk z?dctw{;YS2F*DVHlyRhM0O@pnM+Ii?j4v%Eq(zJk3Aeg4}*&V%D2?JzKK;I>+hW#>_Y>0LRtTt@_(ki!L@xp<~Qs0 zviHx7=H?$mF#n0G`{V1E$NR5rd(!&mpS0g>`;4HRqKK}vrY@4+{&iGv z`NU*1=O^b9u=LfeA6Di%7YFwjHV3x4W)=n?wpX=dQUD1A6#p@=fFIhKp~pGRQF~wb?0IQPulfBe8?a_)PV9#Kh}cn4{o9%{sCtpjEo|Uk!oGB% z8(+bC;C9y5k@81AyS=CZj(7Ne-i&X%b&p}6n|1vre^~TLHE1+kY;f9t$#$Hq@?sy- z?m+J}w7&k4K4|TyE@SlzobQiJk+tF14fFSXN?nUR!0l(!l~>j`nbC_M9l%phToCB( zj&>yMjTRKJ{EvpCk14Ea!;2pE&rIbvUD{6%%+J)lpVs|PPJD6JzpI|b#h>{fzeQ5l z|F>d|uDqtLzcDW}H1z_OA{5$RXt8tRIlMjdXhU$$D<@U?*o2xju zDLNS&R1;47D$ z49s8Ru;mnQ5JDgEE2tGf+RzW4&0pdZZXb|d;uFk)0VtjL2Okw6X%TM_Qa|xKXca)3 z(Qnp^`;G6EC$}H(5m)XG&NHs`5pVDdSMC>DpD2F>tpZA)|DNN6`FjBV$9I~ebix;Y zqGR?;tHs#9g0`uCjW5FofcH;qt^F5~*+<-G9?wtQe$M(OU-&`JspS9i-+rsBX5G0lnKUf@Z(I7u&Tj zm2oe!Z+fTBj`g0Mem`LAn}o})OKCqo!Bjt!+YS&|KS%Eq=hum-BR47RpRyez_HMt2 zwVxI4HH~kYuN#Z{9<+nkZ&i*@mb@Jt=Xa(XH%BryFtz-)@+&`v`nn&5Pc{mynI4uO z8dC$0mscxz>YJtZ&)@3Nz|KFFcb7L;4s|RJb?*~LJX?IhH+Hm1l_^ZOH71@lTT26b zbYX6=Ej_y*&YQoT5aIdRq;a#I>(}SzS<|!YMb!C2{4#wAE+6Eu(HM7D*D_|!Nv zvuYRSge&1NK{t8H2I5?6&txAh?_e49G`yKOkCsL@MFra5$z|+y8raj_&BqCEweG+uK*oq$X4e_PfnHlt7h8 zl&{Pp$l zr`=)9!Nmc*&u(tGl)^_CPSLmy&08``6%36>>n$qW3E@mh4MQFsPMyiBN~P#3>*IWL zB(1yd@{j~bu>2Nm&MonLJd+_hTOx(tYCWcKPUm8k7XSjTTO+*MWz*tWeYF^o`eD-B z2WXPuTpb6j`SJDB(q0n_1IM@(PR_;)-2!*(`fh;|0TZ6E_EEnerFK3_Q)h3ASUQB- zEeGIY`Omn1{w!SwnG>H-X7JqyuwEqZUft(s|76t!%o-S{to?ApiPzg$O~swy-NrHY zdEh^=Oo34?@Y4M(3$^p1AvA3&R)~Wt`}0rfh}^ih3kPLN@2B43ws^=}!u6HKQj)VLMAS)RiFFy6?-cZXy|*d*4S**M~DP<|mkf1?86iAi&| z+Wa9I>vz>3ehLL}kzTA6QJ!cI4HF+=6wo=|#J4{%cE=V>hHg)a!4R^)7sk9TiKevD z=?;fIu;HZ`IWBc;zD07-j^b~M^8Ml*rtwn`@%L?kC4UQTl`kGfxLn+3GIP9+E>-T1 z{E`Rp6-B;|b%7cBJi$&3v0(R5g}>zN%7$Ldx+(;~Ohk{OztTr<09rIhkGJ{8Q$`A{4J5Wr>cL`g$^~Pr|RSKEhA-;nkME%XYCh7RXfc zQQ8{eJ1+6tCDRdON{JzqpjG#bmvr=weP~sVB7XVj0p%#am$#WG9TJwu`fRs9LuzP1 z`w$}GcVNfY$Yp2lt39cSSJ$pS1GQDg2_0-plvb0%m}>f3ed zs(f;0`abAZv-jyEhAyJL$ zJP~lMIpT*?W)&@WII&-D>dYC2p&}r`7q+>w66r`@&i6Q-cw=&SrPpD9DBdgE|BBJ` zG%vTP!(fkpumUWJ(g`xE8jae5y%oBeCCaaM#!!pXM^PNpn+)h?zw~WQTmT4449?4A zdw9V%QNfKfh90b~XJS*_gem3{VFwsg4C0*MrNL&HQ4N)bdgS4dFas!JLbY~wtif?f zi(d@y+m0J3h9nVn`0knh!`o9~*Q>Zxm7z#ao5#1vi)M-~_;^TSO-!e@{iwI$qDUrD zfAEN47BOEGKFWB0ov52;pPd+T6ozEGZm@Z)@ELC3D<4}&Z6dfLDL|AkC={6I7i{xU zM#Di=@OodWD|d@-r0ta!(FHp6#zEeVnLRO6YLaKug+Sy)=yFAd-DU@(x<7~Jx{=X# z3O5K&QICDds23oni{N9c^FT-_vCAT$BBz#?fI021It$oP>2C7yi%Wp^4-{#j@vFkW zNYGm_Nw_`J0}ep+P*{d`U7|k|S&R5odD~ue^(DtU2Y8Iz$#6#W_P)`!J^s95+i3^Mm7yI?-ztcJ z91&bc?(Y<$6t}vMnjHSqqev1)rMYXlwBhLb-FGxNAWp%oc|Fp1i%TKvCig)^=)-V< zlY>;xtE0)^wDX=_(=pH2%mkj(%w2~mxTMvGO$6%PH#DW!7|^7fYVFHB-hGPfbAWsD ziV{cr8Z`k5c`)pppLnqTYC9e$+*eh}joBr?&ryi$lDA1%T0CIN!RT$eoX8-AhZ~9H z_wMwC@%3lU2_E$;4UCJOQ22=oBcsinmZM-FV1bP|k5~#KmSzsrE?QbfE+78*7d60d zNr(WvVfuK+gnFQ2%QkGq*4}VMGGA&X&<*ttaFY;f0m&ZroEgI{cWZFWoTk6{W}wYX zgDNp|W99@_js-BG))BlaDkoF3F>JDUW~~UsXy=!`h$!|_i92ZBe;fSRF%+OEYNn7I zcbNW6!)d5uNGneN)hk8P02bUjKm=@IGjP`KLCOJe9DS;klfs3kc;~M*@ zq+dZSBZhp^iRI2uv^$FKS`soXbCK<<6%R$q$6E7@$cwv;#@Yl3@9Mno!%^y?_)odYQ+qB zR~usGsBXnX=UjSxL*h9poIEke*#LZ0!XeAo6WbyL6jXTpDwGYrUx3*xCN2vV?i+iu znjEUq7Jq>=K0~i~7Zs4#LD`cq&M1*li|o&7Nv^W(QpBwG9k-kDP)&MX19xc+<5YH{ zBJGkn_eoP;p9XZoI+K1DeIDDbzkgG|rC+4zw}1NZFjB&>SBZ%X=5suO;?0Lnr^yf^%HHv~&-Lh@&1-Y5bX6ZSa3N)1s} zY*lLNx3n2G8gL1XUD}HmZ$gYD7JtIM+I#upvoU;IX{SsL)RoW>53hE90^5 zfF%7G*1RD$u+_)O?zGsxyD%1E$%XJnwiM&|YH-`|avLaM*&yyh(0 zl)wYaTH82k;A@;%dqmJPku?N}i<62)-bps;RFty%dE=qHe)6T3U84ef6MJ!P{VjT% z4p5FwDQHF#^VeYZ(z_-793<3_TvNy(5Ld!-9u48;b1q28lnuYb1W>GZQJrjejneqH zLWh`8l1(|C8P=FV__{w4WrwtDY$Ud$cD^QEFS%Z3cgm1U68Twjh0NCS8m8;-XF*SO z!1q^omLfScOfU18dZg_A7{Vyg7K{UzDAeXKQ{9D-H}0#pcb?QS`51B^(%LE8VsfnO(0HWRjjr} ziFH$65UW*0Yc}rFJvl1ZI6QZ6+5jX9Nv^c?XXcLj;CPMZE>(|!o9rEL)J_|Bdk_VY z8&g&(n{SjRSDb4w)BQ7(<#Dk^BNKUtCR4j&&q&`wFA#~KJ}9SbE8LkpR=Q`EopzE2 zUsQ`rqWmTKLV^-gb~Um}^6G&pwqRLb(2AW2T4`U~1RMq#^X>1*4w$9aQCs-J;Y!;Z zq3l^kg>|~f0g>l?TA8cB_7zQWY$2SN^u|bdwdkg6f;SNVTF{C^)DD8EyTdxY%@s_z zr#pQdN+Gf9jf9Ib z2Nge|)>0@;VZU9NTt81hHc3B5I8!M<#EbOy1ASxVoxMs2U!*cPr|-@O|?g={eWp)vss z1|ukRBKy@$nnQP?Ct8N~el9W$q3F?)v`9YMtUE^P5c%12_lZ9@9e$7K(CbD+Z!9a= zu;^_qdigpI{D(4n{Rs>FR(P9h#WFBhcBd)M{w;afS}y7^NZE+0SnJpaCx<%{m1ebm z#z*pU6rWFqL;Dr#Q+rw+UVl?wa?UDS(Y|?^WnYnY@tAj+*SzfKGl3Ps>089axl(y< zkuch}|tvFRw`D0pnT)V&3CtnTW!tckDRN5OC(Ic*nWYB%k|+2Z3B zyS90r5`lJJ2=C5b#g|ld1uZ0?AWJN5Yrj_y&)vAu4v_|OqW*86U$>9nn@CutJkOGtBvnV@aL8g;m5?%!kUfBhZ9|~AI7b7qBYqUWS{>Tj(mHHVb2O=a zR$+RN)byEdO=xL#(0hV4NU0|8Q;bjjp|u`4(jnK<)|k(Zkw0;B+u!6*Zk{dPR4CJu z#fvl41mhBVsy*k~i{qjA{nJ&0hGLqNdjY{e`vpFfcu-DR;4g zV#T`~f_ z&5#?l6nSJC6J&YjL~SusiaP&kv=8Or*%uZoV=QxPi}RYy)w&!w3?`kHDPlqBMePPS&qItk#y21a{G0r^AjRN$Ak$`%>`7xV zn8R#A{Ta6t+hHSNvK|0W?;X*#vQvRmk_Z8BEC&0tN+J2_P(aDYAOe>q^o)6=!@^cb zby2e+62k)IiWyihd1-Ioe{_~I8=MS`b_k_KM)RnW5e2YW?Yj|bw(H*Y4hHI(>N4$T zE(O!W7wM_N80;jmtQ;IP?9S&hsR&{{ zs;<1Tcs6=K;0LCu1y7z{le1pv%b{$ji7c_Z_u~nAb_Wipp2R;-y_oqlam({vs&6nb z(=1^62WS>lmy&=Dy7Q~>V$bS}4iVdgVHlDpva3Cvxue~og(w+vmEK3BPlWGbF^Pb_ z^)ajh@N;xMjab*jkLVTFAFke+lLa24+oLTvMlQOh6p>YkjF*%N{?;u}%d72?or;#q zZlINwpmKWBUxCj#zci1bUzCc?mmnvmMmL6z^8L(^iUl>aACv5>nQwnt@PpeNw~sRa zu>UM(&9@J?_81-MT%9xGOoktPAA1j91q>bGun-&=+4h=@Q<~b<##ov;Ceg|SM^jkOb)M=0cyivZtJe8S?L`BU5Sa0GrokLGhRy2 z5@t!@n>Z0MikYrA-be}@gaubJ9EB*hnTDdB#`KGp+aZ{teGw?x6|1y^+GzAPpl?=* zP&i&bCk#f!aE!B%1zNC?h7#P}cdVsi6ZzA()|ONs#P>V-R5ghZvb>S0%nKOFf%HTDo6_jh&L`wQY( zCm~|mLNmxQ{|g*4Tip1eUrvNGn@m_I?;aI&c`;#3rMC#=2nT z^Btzu#1GVPLwU&u_M@mZRc1Per&K3$l*U>pKKboUpT`6p2Qk=SP)q<%E$@vGxL&w! zn_HHvGFqI7vj;!~ZVv4mEEVqQ%G-qTGY^feX>#U83v|aa5eDZxU7}|a3Z+KRSC-=M z1{9u7%6lwd+tQur@FhJUUoW8LoeJq3PiG`Dn#%&)A3yXl!UPfuN~?2a4(}OhuQ7ee zE(&8l<$@ZdbE4VA`c}YZEDq_#+{&7}=+#X!FAHwoPC-CdtD=<;LIR!jcX#5i z;Y%r6($rF+$5xsb4n6FzS%M0n-ug(21?Ly%Z=1F&S~_9Y$fp(RGRbz1rD$3YTR{%i zmx|iK&=xs1aPnyH;#`kIhhzy@T99Ju7JIcmN<7|u+e97|L_8oXSm`kD3fY^mgMK{S zL)lPNr9R5!tjfKqyQfc{X^UaJ4*tL4geZ-YUvRKFtmONlX#zX^t!MpIloGlQ@O~iYxwYsqNl|RU7|4gp&6BnZgDYHx(SSDFO*BL<6 z>+=Y*)^Kwzi4^M9*%%QkDIx{&y~nOAKf)i!<6^PTN3opQ*xJ+tUv>$aYj`Hg(_evq zu4LGBjYhwZm2;Lmv-CmbaOM%t_g1y@H0s%7jv#>SydGFs-$Q^TVS4smI>Ycn1QXzf z@{}Om+qw-Xrj-eN5Y%nn^WQ3hD?|l4WBlRl zPGy!E7w5dR%C-OIGQ*C~+^{Qt9kmS~Y?I{(2orfGqI^^d^U~T%d^NilKLBz8cdGlJ zg-{iz#|ksZB_-oPc>y%lxtyk82*j&2nnZaEhu?l&1T|{rRZ$P!3?&IbEgoo!Yh72&N?pvK#&Aitj_3_HLhkDlto@}-7~@PDxukuztdyvxONJr`LMb|N_=!0 z*6BK<@meYke?vN=p7yl^6BfYcp*8vhoLN}q6uWtPdEm~s4SMm-$A`^Gk2l1=iW#Br z>}6G^7_;XPH3!%S{5t8~7fZ|%)16I63Bpr=W_@HYiWOy_gGp4m!sU4d{XY4v6OC>! z%!I`+cDp^j0S-3N6`I@1cN>r8u@^~>CPi}eGx^@cxLZKcTwu}z5rc!w9F1A)i;NF1 zdryYwEY;X)lqv78u(Xs$@Pq~yO?n70o zESC29n&>Znms`^mC@|yN1^rwF;qYr7TlSar@5m{+r%fj!c)=DtxDu?neZ+LsQ%)C5 z!B7|?gY%BB&9%Po@2M^ulq~Ic^RXI&oi8XR)n2Pd2i{Ml_yxde{-zjt2w0b25#-Vg zn%}T7nX@%7G;)h!k0(WgvMBTt1f3LaSOL0`)r3Ydh=y>L(2A1-u^k|2qoND|PXPQN zlV^9WEHYxH?~6?nOG%2+*Fmo6BGfsLLvacU&G(jE7tq`Vw{k5I?rIo#RcNhtPMx-2 z5z!$c6l#j*=@;*<^G9TwAf}amlEFnBn`-CV=)4(?P^23mD{ zK(LE8iR!W6ec_9h#`pZmk!qcbKC7F2eVju6T$=yG^bPBF9n5D%=AYz2ucHNn%n-Bk z0@C6FLT)`=b7E$U=pa@AtEtf(YqzDW_dDf!e4A9=;BW!l&IoWIes?@k*6reW6T%Y@ zcw+k}#W-h>_Oj3wRt)wqB5qc4~T8g;K|Z~@u4w-~k3fDFps_X-F+^6R{35!+ z;ETQDSCHYIZ^l5`jEAd(d&@3n7xbqolL85ap_xi{JDZpT8sJViIA@L876k1Eh6#$XB>=sR+c?D5h|C2^Jhx*dh7=D#rb_aQMtw`qNi4f|X0 z-BT_14N05rklkB-G@ncwsSZfssWfk^9|0U$Lb>+xVmHMUxF}l>*2mFeQpNrK8~Bt+ zc*n9HX34S|_xlt53GZRWo{x!ZmypgU5+gx+Z7ps5whB*@#&^PLL*u!C(xGJ^|GU#H zPq4bW?<02xhg^j1BSuC%<`lWrL7B8|r1l%PFO#46fS&reMC-)u@j*D(RnIO+K3btH z7nV#QZ#|!CtG6-lbI2~k9;JSMj9Afg)L+w^=<7=EdmbZs&_e_8nM?Tt+Xy(1*$a|W zK?oGwMh#>}k7i6PZ=c9M09fq~(74^Rl5UOV<8hjZO3?kLT7YRpXJ+yN?ozp~<*(GM zMXE!5L~@U!-QWbfw~z+uDL~yi=lImyeB`J>gGJ^edJcw7#NjB$?$09MbPe1+a73O%ATgY;nGu{#eGVdx{Hyg*f z(ku!La$W`11?+-JXmf+(l~yJN$)WPdo4K=62~~~?btQxVN*#^Q3jvw7fk7 z<{7)bfu=*xy#u5fD6{s1`oZjIxVjPW@0r2WL<{z)^4{S4(*^sIw{*H>?5WdSSIK;d zilN3Ld>FgRwy#XJQKIVaafeSLlMsn!$NxAY+nyb70!H@eB77Lhy4;rdrj}u;~ko9bPCIQ+@JSzKa3@2J^acw2`>m8xYvM*T| zK8s{emlu7pPU3Ry-M5J=U|`aKdJqMlEM9Vb=>O^Ex=Ki3Gk?WeV><^C^=-zP`iQ;I ze^vZfN>(8-aBL>tG2&h_6IXv%6Q>6HO>?jxVd`R-Se|iV7L<`KQQNsZdpBOQN;H|K zj}V3P)tD}yoM0KKy3LB`0aD`zh!+u7uo6m3nOCmt>ch2$jPv=zOaWhSwOSx5JdwfAB&nJ?adTpQv!$a|jyM`9LS_~|p`DCs3Q zU(KuV=GeB>KS}7Pu|_1D`W<=+cijHf6!)ul78xGZscUX#(e9kL#jb&vs0DPL8a?*m zwVfz0yh`=O+SIhGt9Qo*c?hmQ-qeD7Nq!yKIGT(eK6z5%umfL};8L-oqZW=wHJIF% z!@R?a*`eKdIZF?P+&pygnwmq3vCPP zuv-j9)EqiOkoNNl8e^m+{*qGSi9xAtUp{_XS-VkdDo9tkn3$%MP%#SaN58Z33wz?W zz>K{%|2RRhGe>!Gh2lk=c19a5k5BXa1mGt`tK*$4W3;e$10bX>>dNjz={F1cI-fgz z)YgRDQ%}jw_Hszztc~fyehHFWIN?~CPW^u|b`P+kL*bt2w{6?DZJTG?wr$(CZQHhO z>ulS5&$;)$d2=W8l6lQavzj(-(k4x_y1w5(*x%@OD9@8b%&?wmx)?NKeQWx=fz&yI zA$}X?Jpm0hv(_kWFU=5xVyR50MofRyTggSpTG8-GNyjdkQ02GNP8PC3Sel^UHh9w` zsPLwp%0r;g&0i^zBF>PNc>_@%4s^zBVGKfc0|%?j2icy>RLIAW*a}JLkoAJt343KB z9>@!(!%drUF`eR%*gxe_LFoNw6GF(o{vV!7bQ%lMpz9mdy87&_T~3 z6W=f;gtZRNs!uEpDJXK|yi)Deg z&~pCh>hZn$itR7k6LZc3^eA@i+DWXZ2^g|{+;cb>&DY1L5xBW+s|SB!o$NQ{L)f^G z`e!1V1hs5CcW-3zx}7h)TN)#C0Y9kMWB2(7*6z!OEiqHEi)jhn)co#l^1Q&!3j)KR zc)ckS+Aye)26BlrjUa9c&h3$AqyZ1DiJ-R}KT1NO1l*~r-iQcxz@_On6*;jX61<=<6+gaNN-#w`wn?6E>D}A zO{LZZczIsyF30STs>*cs)fTW3_Xi82F#6dLR4WVH5Fl>?K29v)anPP{^}T*zR#w$O zg%A3>+Ih|LFzt(h#F4mw6(d(o81sQu0x%cG%$wK1?Ne9`_Cqn0X1a=fKAH<4B7AL! zWs@ZsQ|j%us(l-*ScD5*wtybgh?Mom|G=G9(ad{y?B+8O5v5zmI zO}^AzePz3NzzQ(D$a&Js-JPHfQCWyne6b0BbJzHKRB8fN(q-eJd81C#rZ4XvD$ejQ zeQGd@kZjyf42U*WMg~v;Ra{0~vr;CQT3$2g=}6d}M@v;jnW92LT+4;qa4 zx;}{ydx`|V;SUzT(<5SfV9#AqOVe&4b8;ITlG{u9)^_MCDUpR`3CWO??|c&G*tF_A#KfHx&p(TR zZYO=dn|f*mF;ijVR9R_rBGRAM_%I<}XW9Xm3}p=@Rao%fZ(Gr#MbPC}43t_(7!m*{ zu5rN0@MtKQLv;7nB2nXV(ywUeGMg-SB%)D&;)=BF&jcYL13%)c^8(m=D8>5t1&@D5u}xy)C8yBJ6g@nUeJfDSK9LK2R~7J(G71(&AvT2-)pQ zksCH1tAYl}fboZ49cO*al4gB=1$pU~4V8BlH$lI;^N*CB$HeM(bH4nXomKuu?J_ z3Yi+KG^S?ZiwM@Q9}Dm!@#rdRhorR6#&0CUuORr02BI>1;$3}`SN8G83ZUCa5>MQE z6a?+ly&1=!t=kOGem&LlCXuC2yJ4Fq!m|N(En}#9@6?BmXW*GtC-rvt8xnoQvvGW- zOkJ93(y^_i7<{RZ(;)oCUQWsM5cm0?8S*)E61CpD1J8xW--U<^yvhTNCk4hbX|@A04I-0a*G{xE`)@N@R^pbToxRv#A_*Zl=)au->W@7E5< zk5&gcQ=X2I;w5#}6J!}=JC)If*>N`DA2<=|tP0%r_%X2v$`sxEYd%0}#F_Z2m1m z9N!Tz&%E4hpIz;6P8(`4#_%%EEX*}ps_aKM_CVH2tm#^M@^JLh%c?6oTB_VHW8^7h zN^C~!&qP9Jo5~45(Y9eHQ=!4f*ae+D6~`yCthq~?n;}`V)KW&^w=o<)1U4oLgwu&&|3cI`?8*PAikr@6<;PPmS_6*@{PbXAs zntt~n!ogotIugvyutPokMH2E^!0Xh5;6a~%08%XQ7Bc!bMUOmrUaYL5xL?34z{`L*O zxEt+;4s?^^Z6MyhnbaEb&C-mdRinh*Y|FQiF3mA{HxQEcfL`)=N#^}+bUPD!kUxMx zy%lBH^@8hwyj)+Y8I!MrlMdzTZ*EdhfFFXLbRpqX`!IwOj$ zhcS>UZNU?OeeG$qVVhKsuuvBH;`~A%sS%`Y&a=d82u4~e$J5GdJVNX<8l4qGX_6DG z9p7*~4*>YK0aiRO@mO@3hhUA0AENR?0%&v;>WdCyid~@6;N|Od_9}Iyuf=ycl~1YRSuAU*!J`<33*R$CS<`+ux8D zeBei&F&WPh%?rAqDe%57#E*xDsTb{~ZDW%(E)@Q)6^WZDurWQT(QKkXf`o(*MS5?C z9Us!%Z)bNkVfC_YqSGAujI{KN09|c}{-DXVPX?(_FtrLLk^yoM3CcCHXF|F%53h$- z6hx*yn2IFF>W{^oEXqbvg+#RvZR{E1a2q~Sp_=zpu|r5a4s0`%;h^>RS!-qGHqcv- z+(oyy6AEHdR;&8+kZ%akxG{vffJ9Ve1K&vZWdih!SPc(J+zq3{@Y7#wPLA+58~7!L zKfg)p(pR$zDgo-t%8D%bv1*SJBIl$evf{|euIDNukGskX9O-~)81hFd`UB=_YX2)4 zaKV+@T|=44*&Wozy~k7wBi;T};O^v3M(~rjGw&BToA-R6%opSpU~4ib^X>drNt#Qx z((&!D?+}_C_oQzmIlub}x88Dib>u0>cZOfPZcJ^TkKEX~-DHo0qr!_vA$QL4|`tXODJ}dQ;6nrS#^8*&iQKU*QA)d&hm z7q$JIbOZV&Yu2^|^=YQ5Dc{m905=`rJs)+}m|<^ROC!y#C%7;v%ZI*t2X()hToYM$ z+y&k69QLt`n}=HJeFnmBU#)^J`3P%q>cr*dKbGef^`s{>obhU};x@v}xP9MMD_${} z7fEY%<4!L>aV+gwg8A2Vpz-NLij~+=aKvY)PvSV^TXCrr{hIr6sC+xr&HlY4AUT+Q zXgy8lA(^CRZK<(5)T_d6m|=8i_c&+2_pcC9SS?*cXBlpFLasRUo7DIW$;Tfhz$%di zrgP!>YcimuL!j{lkUYTGl41*iA<_GgRcY1g427l|7@yc!@!PzA_g@H`$w5`S?Zb3~ zj1p&nRq8emkaa`8TAA)xT@x0=%4D&ykUhNzFp2ZzSg%&vt7^=eRsU#m#S8~9E>nzJ zH76RxM+=`^o&n8UofrkbgRR3?=%Gl96xo1q_Pv83U9BSx{cTfH2NeQ3BKEZqa4#Lk z2)`~?P(GA`epOQ~7ALQ^z@$SA;gElTPzmPdC9Z5;Y8W%u*V-j8ZLa228RxmI>}YFc zwOPqBcY{Uz+eTqwO74JfsYuoQd_*YZ)w=O^se0To0U@PW$l&MUb(l+~JlY|c%K}9lu5!oQ=E5?oz?b{6VFFf$xw3@a@921OB_LjGVh!fQZ z8E>4C8=o)*5&JrG*&|HbR3>fC2OoxN=bL7<<3kZmYo&dKg{Mc^J9DuY3dJLEMiR*T ziCI;Ls-oL3siiphsm;j4A=m#I74k462zBOFoRHQ}_Gb)m|ga)&f$v|$p zssBhUii3SRA@L@;r%nrWZ-EjsN4M7EVcFG&5UZtWvOcaKVJ#Phou9xv-*5kyX$9oR z2i?oJ@FBH)nP8}*>Q^Pouu%R=ilD1cf6W<%SbJ)6MUZKTE%WsG@jmeP)upq&5uAwu zH;>)88FUVvzfE@jW80=QG{`O$2|B|z$a8@2ko{nrehSCGsXwY74yI?DWJIJG z!DO14!EwL)9WnJA((dwvsP^RA`0hG3FC7t6ZBAVtWSU=BR78u}ip&e$XZ?kYb3B+* zDUXjW%0T8~0Wmewk^s|Se_kZ1STL^R?oV6&PolCk%ExyLAn)FA5m*>pq+4oOsxVK& z5FoSjSK`qa;Oy<-M`p(i6tOBmPjf-%;qz^34Ea9gV7$i%))`eFK!r6{aq?8_Ivhk3 zTVqH8y|l{qd)(u^p^iG=X+ZI*1BK!5^Er^&zXiUfV(GQe3K{*Cdrj?HkvK1}Vk9*W zJMmNaunI3fR8dxq`S)|uO?dxcV))R|n8@voAhY(KB8APVEwm5B!U`>=bR+L&1ic7e zhZE#PAd7G>iDOIet_!?l38mfyhYU2KcBg7(V7_hZYX7}|IvVg4ws-};Ty@oNc33_p z7v4&+q6SxKtedU`YN~t=iz#)s+7+WQx|VZxG7L0Z&|5ccUQM-o@;{VGA=kcavH1+a z9EM;i?M3=IP`z_dh-fokS**^)<*vxHfP^^UZq{e+>&JQNi>O4sArub~I?P#ukffvV zI-+V#fr35FZW2uE46clMHA!L~SU$+dvD1$%fxT9Xn-RPwGg;*Gd>xtoTT!$cpb01P zac@b)KjU%yo2X%T=`It3%9O3#iFDVz^!`Q)@k5Eb%mlP+fB`69#x`(PUh|9&W!;`) zeW*btOg!QJKO)7-xOKVq1#i%aNh5c}HfG)0NNmR9-q}aPXEADE} z9RZO^H_DXK3>sr+9=<^=$zy4SQ=!h|GuGzbi0c4kpU6YU@*omw9mbzYq*>;J`rwadDMw*@dg5 z*P5{<`i=Cn`ii>czh3z{s@l>_4dl&{Nil+2V%0(3ZqdS*dnU~%!Rr`;N4>UM$7T_! z&4J4Wq-$`v&(FlqT1wx+E#pfS%uQ)o9hD)5Za@})$A4L)ioTC2IRLxV87&V`X{b;% zCx$_69zvH70`Tq8kC=PKmjd4_0TWOF1wsWs#R_U z+$JnJ3pd4zU@vHHo*Z_x;B#TAqX#aTXHo^+Is=@XD{icbIe4PWG^sPQ3a>*!zVDITI%L&U9T?cqKZ9=+HaES=72?(W}3~%t* z2{D$-=ZhF?sc&V@&bZlRS8gP~+Bf%!sAI$sZBxy%cM&S+WaukCO~zmkI`c*1XeEd8 zMiW4SrlryaTAXg^`!aui_#ktom<5OV8LKn9Sd2c=!nUh>vs~4thnHL@wr0*bL1O=O z^iT>P8{6tb@=dNKu_5%xj7CRlJ)Nt)5X60bRfTw!WQozSo5DyFwJos#dx6L9q?^!- zwIsI}-h`cVXm3l&U`>i#)lwS*jao06=o!bN{-78JW+p<$4(a|JfnTu%9?64fazr- zb3L9_w_5eL(z?Tf^r5<4g}TXJEQ;7C!l3sbI5EuX{mWdmQOA>oBVz@z@~IMQE_frL z%AI_qs9cq_UmZq+F(JEQGS>RG3B#*#!Y^qrl zJUn;b@^xmqmHPG#uYcHOWIeQJZdc}&-T8wgCAKnVjTiQ{?vv)HSO!mlc zd)opH*jfnn&v>2}G40F?g?m+)1AD9(M@DiE9}%VM;cQfc%kv!a`Z>FxdY?!v$yjm| z=M||T|B{varc09nSjiLyGHTpt4$hyHaQ#!u09h*J3qj1E?iT9(>>C2> zs2`OFonbVLyqASX_m3T{E>XL@-QYb--|hMHQ0Z^aKCH8 zuQ4m&mwd_9R~Zmk=F79w62-87d#=|&A%9%ZAtE)1#d}518Xfd>hHe5tgX2x`Q|$mI zUG`fu#x}OO1+H3xN0rU*i{2^p$CuEXziMX-n!g8MU`ye9=$aYxzO&lp7belR1U-wt zR;^~wK+`~1_t9d=QFf(Vie&TvvKx#DojSirFT`Gm&#WKN6MIBlq=qs6dP->lRH?v- zo`>^AX!@AJ4A--CH^D#892V5Y7y2z~nq!SdS!8CQ-`rPiPc7w_KcZQ=`XLhf=}bMZ zcsJq{gK7x4bT@8OxC;>5KulGGHtVpK{Rs&T2<~4kE|`66q4;`tCP6}Zuh5eYM@Wen zeFAQ>)o+eR<~1=3W~7~U{sXM*=%JtC>ul4*e$8(kfQB6AjDTzk?wnTAr|EPSd>fwX zis!gx+r%v4AAL3*79F~7^kAU6CGOT})yO^!#2`O`Cb|Jd-2&mfqhE8Vlu)k%PhUw8+6j9CPbN^SKr*uT|gE0J}+%^@Am+j_9h;$0BEd*|aA_3g$X+HKnY_T3t#Z0#^6#bA zJpmU% zo*vg@`9looNiGo(jvAHD!~&ycSRPymlViu+m`EA+Dc8);tN5KViJt>-GsS4~?&Ao! zws{`<@vpR?6w-55eFHy;0tJWSY&W#*+f7!M5D?(}K|RVLsYOagKq}oy=mOK_g{?H( zfr~mzYzfe9vi`Q?6{HK3zZbelp{&_vi14eJ8zdgNOba!=H9-WWF;6-hoz5?H5Wx=E z_c~HCH&T*(Mhab)u4Ql_IiY(sTsfJb!Z;)j0#OF|xDknlY{L5+{5X}x`qRP#mTOT~ zjv%h9QzQhbsstUN9U}{Tt-XQs znZ;XrrbW537oykjSnx5B`|t0T4cn)$3H_tAQZ+gY6rm-IHN8FWpEp#Q@QRT7eR(_w z9{7Qb%jJ*7y>g|B#fkA5z73?D?(RB^dz4f)Ksz?@4M?;eAE}|OQ^eqNWX$@Z?11e8 zP_5+`!DR0|f+oEe%yo5_Jjm3(BK$Nwrj1!M3{~k^Bxm zAGYSkw$SU;B}BP-F~rd%+d9l#TBEpy%G?(^kIE>#V2DF*Hx!e6Ltj2RLmqCM_v07Y z{T1lXKeqbtt?;@VD3Bnn$QHZo5_lCVNz-=5J^LrISp-!|Zp~1uXP}&uc#{f89#wgzp=Rqi)z^ z1gM#<|B%|x&vLYZJ(DUDd(Glhu+k?hQ4a%^)OADGe#(J3u*-uzXkRQz$oZ}zk8CEe zqG|Gs9tt+Y!NI_F{l&GObEu*4RJ-!SV+}7-j$JADQ>tk!<=mZy3Mo?&I{ziUh z*|(GByjY&_XsSn5Q@K1`((fKF`Rv^HxKJ*O6OL@j3F0r)`hmiUS#$y@^<^+Wd7_;S z)8=XxvilP5M7&Mj+-~E7#G%XFw#Z9&F2nYC0n5vWtszPFG=yThp@IfSo1R->EYs`i zXiy!^fVL`0KOZ0K^_ny8h*f%p_ws-C#QRj*WdYf^Zj z`b%Du0j_0mrfl?-BZNOTrGUh0t&ZxFDts#bFsj_=7)J>Xd)*}M)||4>V>G0^xD^48 zj}m_oHRb;JFJ34GVKMq%^xex3Rqou0uWNhW1_D`}1x!PRGfw@J_@b<^;Xsu{y4_hs zWDbvQjAl+FSIRSzr<#KoSu4H(?Vvy$#0cFLPCFj>)B03L-6FN8zE(i-Y#bpf{?$oU z3*b0O{J<$J7m0a+8gOWL*>#bAZ};pT-Du%k65?8$qbujDwOdsE2E*R z|KOgaaRs+1LJ0(x2=`w7U*)?3llPz(NV(WO=>kTva)EEziOJ})huG$Y#(SDFOxQ5o z;c?|p91!>cjAGRYum;1eN5eCxpROMH{^Jo0ZsB`fh{OQ#7O|BZS{YuzIiqQ~fN4Q_ z&6q?s)L#hb8({dVloEjS&SbhS+;Fur>J(=cl~*~_tuj~>|A?S#qMM~*T`?>{d0F7!zVAP$~UiL@8c4*7y;z)&`rq3`@DkW7KtubvAUjd&Dr2*fRsVe?_(PX!VP zDZa36Uf}D?IWj;!;;QxNIy|kP{@=Kjlfj**UF*n*)!Zv=Ho`;z#v)IJl+SN(q0=@1 zoTb=o$Luk$k&E-l%d8j5x(mT%1#k2PFXv>TV^B+y12eh6kH+6mX)Vv#gw!)3+yTZL zw@2m3E<{Ylpr|cvGSq!{`%b$~!(Fm*y=Z4e1}J6`Y!}kAlkfP3IU(9>8X1!vkcM)2 z+9ESC#97+@{>PwSq2VGQki@Uz;Qc=uP@+_$SKbWmxS}vN9!`CYbr#)u#kv?TYQs1` z+AIh{@6g74DPlXg6-&BRE?}xpJ4O3Gq$fv=N9dl}J*Krm&w2!WqP9TIVa_3P77dSr zCrlyzS|IY?3H_XdT1p>Mu>926`-L46-+F3`K<1@N})$35BK7D)4ks; zbRW>Qw2e)Ldnio3RJ-iTRQiY%O2OYXk(Bp`OY_p-yD_l0>)jY!=)-V9?C;a zPLrtEJbzOUL5nN`%FqH$2k4gJ>1nbnQEX8QJ} zeCeSWZ>-_RJNOF_Fr?p0M>zHkmEd(|%p2iMBG@<#dlN$V=tW?=0guqzf0g@pig@pb zwRB6yjH~hans-*(_u!Tm-n>#m8r?RxzJ7qx#{_UQt7@OZ)Lq4V z04Wo<%qF{Qmwy=UxbXco42^U}KD(Df10fRQa5$WO!Mrd~H~>;awttlV_QQYLIK}6a zBu_7f#}L#RnK{l@<>d5FtUGLYx?^K;t~8msE){wYzY1t6nfq)?2`<@o-#IF2t>HQq`Rz`yapECJZ?cs9Wrms zeE&5v%Clj#M)4Jtc;X*MTI)TjOw5`!2tP<_yDnh!h=6aav5`~iy9~5CPg|xJe?J=c zgGwt&V-Od?qWpR|Dc3?B3mkQ6R>#u5G2W+SL6l`#!*fH`=vK&w& zu=Xmvn5E{he=nhFtl8rU8%Q;wr3uXXr26xlN?k6J*PoqmVT>0x`FIMR{7(B~db zMN?-Ssj<@`5#WADdZXMn8()22TR~s{%H#7p%)5&p#KW&-u}IXlq+{oL7sKR8$o#so#F3yw_EMaEPjozC!`JfZ!y$DMpNi?lQS_if!IV@zP*$ekwq}5o zBSREgP;n8tE6yo6G$q|vY&C}m)?nTmdKR@DL!89|h*J$PP~ARozB`t%%cXgb*6^c_ z(LgR~7lVaJ95CW!<9rFGD_@3q!OPz>Hs=BFSc19LaEi!cuGX{mcz$7lyVKNM8Rkdo zlIkkZ0yxaHQ(X13PFFy`0{z*KwGTM3CH zS)P@FVu6&kUWy{*piggs*&oHL29Eq>vqZb6<#XY1xbL@l(&1PVq8pZ2dBpzdu4v^qU`@hwt0}Uu zv`^<5)%fa7HEBLX2EBj_v=wBw<0(8I-?fwkJYR#?X? z4rvEJ7i=Z>8aNcrzGM^-C zUrc+CfI^pwfMYIVvSXsLrJ7MRM7ahjA{eiEqbsT$FbCZE_HjI{8pH&|HZngi*1Nky?@sP8q%W*_RJDw^u})Jw{3)77%a)>w@f@fxD3I2|Uk(?C zF}dn&S*tbpx^*e2_nF>f40WodI~f;7z+PWQbd(323RjLO9nSFebx1iF8(jSaHgVaI z0#3<*$^(o0U2@Vb?u#wwkN&SNpfJ_G#rNkuKL8p0joF?r8 zy55e2aU*nTr(u<7Ys=OtCRp&(bY(YznLg7QV6pJ;0~1`07mq?j&PmR&ljhpL)Hr~k z;w`}2_NHVr`FB_x2Hoq_;FxYR4=WOQ@oWJ>_`JK+K{t+@U*5m|SeRSohK45s@r&+j zsJI$Jl{;8YCnQhmi+#KwELA8;kf!HoTnS8we)vG~yIck(IsQL;Je$eH?~1Jqe}9v# z{?#(UKpnQ|_0E8oINe%Lwpj4cqnEB#oHWQC?XNCHvLiacGa1&I z_7hpLulWW0p#W39$0q4}(=Z{&Nv5K<7{nrqFTR?y&p5D4vc<5n1l%T5wwSoA#S2MO zTz01looR6d$ehoZwRte;z$5{& zTU&yiF_ld#CC=DCkUl9iAMxMxz6~HC7QW7sX))MV`kca|czo2&UCk3iWI16*x7Zbn zv+m(~N*!jr%9RkylVs1zNM$BpGC|Tt(17Rb0TaOx zZPTY4--99nX?&P7eQenBDUzW^9`y!1tp&UI;Hs6>gIAkuz>C=m|8TE?lzyj;6dvwg z_sX^b%rUs{GxE3T^_M|yYvb0~iR+s_ww{K3zs)d$9J~LqK5%3`W?;nHrz1o$ALooD z_Icg(L6ubrw#y%*xTK8IdxS{OmDZivdMlvgfv?joV0G=r0NMd>zv&6-utb7_ArKq7 z&}M{qcqTN1L}9%p;&)(xE8EL8H9azqnoEbrS-Fn)z#kJ=5O!ysTsG;H{3G1N=`XFb zKY=Jp4Tgv>bOYadg53sMX+KA7#XWF1&g>Z3N}oCL==YZ)fQS$(>+@AGsksMgw6Zw2Ut_wzDQK$ zzp-IVrIk&4O8Q>v+3e)iG;BS%Js<1f3)N3@jvpw3c(^@*_m;F;Cm`1HghSbj?KZGo zSARGw7>&Sh6bU*M{PYdW@Ww}F^=M?|wR+6^+UQn&>>Aas3){s>!sH`^Ju;E-ftdE2 zd=0~p67_&uAnkL!vlS)X*w8lc_|W{j{KNVStk>URvMP_064-}b!fRTaW|ArznL(z+vI2oDPIup<`a{e=d zC7lhdEsO+h&8$rb{+(Xg*~CVbfbGAV$jppT^up!_j>;y^1QhiDr-}T(L}X?9KlCd9 zuZC>sXkcVz;%seV>P-Lt^#2PxHljDOv$nJSx3;k{pf{%f$0b{snLGc7mi(_-{A;cz z^rqJTWha}_oBuCA*^=Ih-ugccWm^kd6M9=08$%OECkr!M`v1*T{?AL=8#tQS{%^Xn z1HFTbowJFtq4j?r@IN>H>zM!C`mgSPD$FLXCbslW|EI-F?@I4R?@sSQ?@8}z;%N83 z(airLG5>d(nVIpwJ?8&OGc&R=vHeernTddtk@Mf}{jYuhU1nxvU}9za|0y%aG=u)Z z-bA8{MxlTkDG;Ez`L`$)y90qhvVcISZtv_Aw0EJ0p;6k>DizNQ-4}+4&vCwHJKg@) zJ?~CxO7>>hnZ8W_m@X}uUo=Vs=hO&5%_3lMvxBEW2&n3WWr6pPj7$xTj06eF*MWy} z2L3P^&13uL*Xo;vkM}_|D#VjsEs&W(dL37A3jfRsA{{K+OHP=oQ*FhiH8SYL&EQ9tGP1C&{v2Cy|S5Nzci1YDFOpjh8T z0#axT-U!IXqt?{o0&>o&86ZeM^DEIuY6=?A7UAIJ<>BF=$$@`E9=B+Un<_DIn-C8bGv$4Q%HVYZvqGH2b|>M<_sWUP>bF>O9B=aT#Yk&J^p$$#U+@SQ0046Ve)s&{y5EBc%EAT!(Ha6!CD393Im)|=GmW3gd%J%q z(C6q6zEt$u2B_W3>-){%n}j}xad`R)`x*Ooq>_-Xx}=+DYYgYTam znuA3^Ljr<$a02xH<&H`veXCQp|3b68`1M#Hqx?SWzwz7QU()LufO)&s#+r>Q z1O-h0S$LzS^QF5SqrdqzEBQry_$9yF)A+H&`rQMjZ0F?qIWYYg`u%m#;tIg!{UzeD zT!nop_j5TLqxJuye+Lh>LpMBmI}SHQT?3Jvu}W zP#-Kr%gfk~7cx9F2Y+|!t1U_Z@e>vbn2m#Awr&Km-@vQqAH$4t^cn-@2+V=%m-Qp^ z1t7QL7mwhtd}K%R6<+-#=IsqIJNE3___a0oT`ng#zjt@flI=J97axe;f9XZytnJjB)TW&lE35 zm*70_=@+Z61smn#t^cb5Ag<{b{^y7lIAEW^D*6P}%xXdZGjzYUipv5D{v}89UgIMt z?PB_BcWU0Y4I8H!<#h9^-{oBXBDul0TVCry23?7Ixv#sgjlCemg~Y;b_hUPQ{9NYN z*^tJE1TUBBhi->MB;qGqN8WPnY|RQk2mt)pbyyem<7>@Uh(j|3L=7(}@@}*sa^-$L z1owJJ6HsNkD-!bs!;LqVptfnn|MlgZKy2;X^U z6zk))0hHZ~t_iEBO<^MK?3ypRXTg z1E(y8*bp8QueM+Gtt+rN|L-|Z7NflVqDd!mDqZG-n@=s8*x<3VRgKx9o-X8C0dgkh zVU&;4Q-S-Q&e&ugP8romio;PHskXeqYZQuO#%9WmjQ8vAe}Qnsr=_smdyE-Pmakl4 zJPfsiVZAnps^@Av5^Jhw&?ZOdMbrf&Bf4!wAvSXDh}6E@C<$6#Xss1UcwTcTn(i-+ zoxj$FXIJCR;W^ULMT4qpVCd3Aj2ZnLYRtOV=B)&&d4n?T7XEj-#eZ6YKu6BPfW-9g zf?fqc^hu}t3Nwi;-63DKm%F zM#i*={o4PQuv(qTQ;*ijR9W;MeRQ-t+5b6MT&=Oyzl>kE9P&*;4aM?&j!#y%_m0Xr zD^{6+G3(xlG}=eJYqf9Cs2_?U=*aFI5{?4oe>d?Gs?gBC6v5uEfwKpartf121x zU|CQy4_Bnkw)g~XDO_8a^lFo_R=rp1pC@bbq6}$PPb!XNBTdVz6DAKDa+zF@QH-B_|JNT%|zChD$BS-E89Z(rVb;71^N^u{LU(_xu8mMY+6 zmlDJvVyD0m@l-luL^Tno(TLk2@^aznTN!{_kiUT*R=xcIjk-W(WepSKHbG;yKE)V0 zx7S0vtNt<}m5rSbwMOq-pIfd$zdeLW?UenvC4-dSFohz(D0rr!Yxk3mE-z}+eNgL{ z`##bjJr$O_pcCN(QGy)~>kjL-@YZD)hHKwM$Kay62A_>i66=6k}J`-hTV1CB! zpb_XBFt%;;5ENhWa5Q~o--J^v=R7lJn?)m-}|F z@TBcS#IsynD5)AUWUVDUz13+1Czxx&QdF4=L_C=zDN^%&(OETFg8SX8((GFi-SsIe z0&N2&_fw|c8!8e`jI2Dexp>zhqMs0Uaem~(TxdukMhM~8+bn!`&g^F~0#8z?&TF#3 z_VI#mnYMUVLJAjY<1gF$VxVp!j+$2Z!?tk3TI%AjZZkBpdf?{E#C;F*2Z#sv9DOy( z_e>LXn;Vecq6vE8`9xUs6CH-jY?1i*wHd-gHhMed3N=Bm<*P$A#F!*e=Ic!M5n7s( zpq|;IsgYYaLip(0rePM93WI&1Nqym{_ytuA&Dmvg_DcjhgcCuvU#btUq%-oLh?k&`ZBReE9m#WlSW$p4Cu@ZFh zKEJFitL)z)qnYlFayJ5a1|0RcK||4vQOrT7bS;q%KMPB=6^d zW+>RYDhQ}>(ayWAvO)(Ml%vl`MUOSIm<#hVvdcJBavYqhH6(R|?}MhY4W!K;1DI=+ z3gWxWoZF*o-FWBh-8%*FjYh7lHTsP-WnWOp?pS*iTk~xAlExs#VOgn% z$h+kJRQXh1ObQexbDm+}-cr6n&g#oQ`wDp@_hhttC^N~Yf!pe&s}h~?NEj9}jg`f) zb}L9QkPOF5xW+r9Q3jrTpJJDRUYsgnFof`2ZFNWUTXF*;?GVH}M&-ls$eS5ckCph7 ziq3H8zU+LjgVDtwn|k1N=>T}AMlwch!COa!b{*!*{EtS}s8>(%xI<&&0~RVp_xAk~ zx%bE#E-iU(W!S&R&V79ON=vp|z4)GlMpTAM0|C0niRYLzk@BHCG1~32zXNKpK3u)RRY)HqVeE4#l>@7&YZLHd}7@ z{Gs+G7+{Gi<>B()6 zt=R^q7?DW_dMmEK+K7hx_^#L9Xb6t|WflBZ6W;L;MKQruEZ%{Z>h=?={q~dfKT5fFR=3l_1)g(tL!>1 zWZRO-B}IA8T%{EoAuDb#X9qsJS+O%d-X2jF00NN=R`^fu0eLwTR@FpLJ&hD++lI<1 zofjRa89cT=4l>e#air?33eC8dps=X>9t{HLLof{ut`<#rOMU^)2eN$%?3_*`{2Kw@ z?y=ey<#yK*YyP(IqlZzxn+sz zc1XV?m5ngqSRKZ!;m&3uKw6GI(1?#fKr>LIF(OF)NVNELP@RE}s{c zgVK(+IQ$prmk2|H_sBPn|CV8ba+zp}5@1^Yw5wv}82hz-M!yL#^Joy%P z2Bd1cah0`ppkyL}XH^Mqz4O9huY_1sS@aRaUKBYAof|Op5#6v9Xb6@HYBTut)b?y( z(pj8KOuCvzAo{oVH2GN0)+?#G^l7c|*s*c1e}XVCnvYuV#fUH!5Af?t|)gyoVXif_(}$s_}QGP!K?l@hrl za7P9xr;+G`voyVG;9IO;==;D(kT0v3+acL{M)}UW8{Hg-A!4SFXNumPWyoM;VSeQB zfW`L4n(VPr3N7}_i0ztvm0WA;@*K+Eb)RBxEirAee^3>OI2@C|F1)hum#wTVw_@>F zP(;MPxy@b^^%6X)-(u*SFM5W-FD9Q55q5?(BmRImqb&LM+I_I#o!&IJ?nnsvIq=SB ztZ@jNYm(NIUSfN{PGp}gjb{(lK??ze-VRBme-`F@iVlp3BqN-v2q#t-Ul@g*k_TV_Kdfnl4 z#G|9961|rHTb#H3r$}D&{BO4@SW^DOOlB6+HD40KY;veR zaX+qGj&epY)RL+*eT4K*=(ZQ-y=p)j5-%m0#F{YV;UTr!oXh`U>>h(ehr&fow{07{ zd$(=dwr$(yZriqP+qP}no<8Sd&Yihab5oU{sY>!EwJOhgzfhNlugwR8jrn4>(H<(z zbXA3KQ>qX+oopDmeU5P%KCJMk#O|15b4<$)p1<12P8we9RDZhv0U`ZVoKf}+xk(^y z43nH})?q3o>c(rme$CTY2e~v4=jYR7P?uVKF>v;=P%jE^ayl*JxH1J=%9slToCzju zOkA%a(dJNf-4#;<8$VTPU?)H2>-qcqX|%tnVWu=OFkj>NM83YnW2WLfZ;&9$c{O|^n?o8jZS8INbGPZ_I9!OKFb-m!35kIh z0pbTCn5GoU@~GA|wyvv^O8(@}WjZ5)&mmNQ74{FqchF&pE`7_mkubaDq=B|=Te`T& zg9C`5o@U0v{#R%Frsfby*#-?>ZsqU&V#0!; z2{*r0HylX@qucrbvh-m&J-9^kRrleulM9a{_P7N1(Z+MiNnHEYsVAEaXLfEcgr|Af zzXmUvhn86vVsU?(O$Tr`Pc+N5Ca>rd?eX#jZoc_^F z(k)bZuM`q4&brtq{T5E1&batf0uDHryK3R&T17hv65iyh$*EEJ*b2*1{JU$S7NDo~ zIg46BG=s(*ypa}khIkn5UVtT|%v-atf2u?SyBSYzd`1U}!He2ZB(E!5>#=4cQm56J znjjB5;$#gp!!&W?(5;P^z241+qJ!7KVygWFpAL5maWkkN>G8Y0%|tXSprVWNv0m?P zY4DW0{DJQ2T>UalF$7SAT{A3OdInImZy+WSeVX`1Ge) zH^Tks($JhH(HPA);l?<0;*U1Zv7@)G#Pex#{TW{xL^va#juYA2Mbc-a0E{H`lzq;M zCStEsVnEZB__nvh;hH~O`;={_DG%d02W!#1#V@71q8Z9%C=-e$SIGcdy$}$U;0fN32#RjcI;A2<{Z9|KCg2L z>7=wrVUiM5m9;t#>(T>erpv+j?YdhTUfG9oCk+qe8;pSeYZ{l?FyRHqg%8~^xf#vB z-{FdO-{BhVX8eSvV|if<=SxG&I)NScClg!rg(^~qKv$-gGt&+TN9QNqSz8=hZN+Ho z;*CZcLBxmZ!-Z*tiM3LuM*oXQ}yUbkt#qamdYEUS40%CkZokF0h5%QcO)I%Eu8Y6DpB3ie+Y`Rq;)0LGWv`1<28cmb-T z2`6*z=9RSZ&0pl}5UT3|6foUn!Y0Hzm9fktc9hkJ+7x|6Js5i~R~O7ulSnZp(4|Gka~6WAXQHr*80Zfyh4jkvTbpgMhgu5|z@DDh{pef*KN{aS zGlxNcO*(eV$~;6eQU122pQQEZM6&kLkoUE^b5+RiG%`3JlBFr^e04~b##sWDZkZYP zGKPHS#LU$gh6i4$$kL7Wc-q+tvNh`K+XBTu@yIeHNM;feYu@9uPB4pkK&N~hULUCw z5;0f^?mD8*lZ43JA)q5OidL%~#4ynF3GzL+n_W55_6XOwoB%X~mL8~u2@QL)&}}a3 zBw7oVg6+C+f1gfYW}OV@FxvX<`TR6RkBzu6P^O+BRmq=v(8>=mDYN@exXClQxV0ZM zW;lMwB0$Ve2^r)5jWg)Iik5O?X0v{$896`l0F=%f)(D$mh&$?quNKN^r5S0RiJex5 zac{$@BtdWF{@vUszJ>YsdwSEKWgGb}-#RFWyPx)eoi>9!HY~Wa3@Ov-DMdqAvq}F2 zMU$O`Id1p@b>{XegfYV_GPdchRMgdYC^V8^QIc0Iv&Gfs@U!extpRC*0kTLw1I42Q zjc%L<%Ol?$?IMk3whk`8xijQCcvWJM14GwC6~aktSK;5tj|DczqyyBZX+EjV(+Cyk z>2tw;W#k;X=f-ouGm(FAuTLRqZc?_bHJxj_L&7D;3 z%dSuex~!50qe3V*!yqxVBg<3(&^pHhfOnZX&l(H$_A;cF#BHQws($-?@Ict@-f8r6yw=#fe13|HVSs}Jv~-K>L7!9^1y&o3X}j( z;KgZi{LYBaKmAZL+WeOT61?AB-D`VyjjlJ2i25LckwX{%^j>AjNQR)F!zzUY8r#l_ z35Fe?;e-mG+gD_XwI6YT`HOQ)QsNNO`$Sved66ec|C--Pg+R^I+^j13ENZ7mbzMAh zht8#lTZ%@=gjtPGi?zr^-bF{$h*nDXyIy2g?um5r^e3_ZmGX5=4WgDK_rx&+_6ZMQ zADivN$JnE(cM$-7D~Z>8m|R%+DQgu7he&y~iA&!7%6RjA7w(zM<)NTkMK~SM{=8KCyOo>5ZSM01 zUQMTJAuz~#>|Y601w`^6!w_e*3z_p-))SEPvqu5zpDK8oPrc;5Fs3zM-h>up)JR3>E9C5o_i4ydfVZ{(fon%x(5zf}0jtNhTwjC?) z_VBGc;yJVJB%*IAB3Z}$2`lF&UW&YK-7r(F0q7=AbL)fX4r%IV0wTknM>3!Kd zdF_^gL^fVS!_9XiUn*dJ<$g_=ub^-sCxs$>m8bhVFC(pjc+;)B?CvIgPqWj^Oh)~qeFo{mYXtHV^axaOuM61`>Hong|&+~C8b#UGLF z_|5}}`ErWQwFL_5+WB1@B^@ecRsXcEaKho|V;d8L&3(~ptS#{+R2bA>`Me$`4829wInrZZ{kgM*sS(63uSeh?8)&&qEDNkoJ}YA zB+o^D{@M9m8|5k_@0g>}BR{l9SrCR&Q!Rh^K!%}p8cjk}`EjcMOSRUN3uL-qHH!cR zt;P13(U`>N_1aH<$P*OGDl9kD8YY*1CyUNf6bh7QzoAU-AY4O}{foruayoMkj%AU7 zMX&C4Nvi9K7_y_c>+?II{L=@O)si*KQL28Mi9B*7KC(T6gIw*NZ{K)6Red;ef*3Fi zsr15qgv>2!rb7ZxV2VE@CHjWXPUgavE;X-G&c-_vYro!j%-12fNt-Vnp`(F@^z+Q% zJlAW3 zrPYonnG?}dF3QWXMl!U#?ubvV>4cfzUn8UU1?Fo_|12dRUIC8mVQ$@`*@x0aGEe>8 zrtHc`0!~rcz`IM!LJUV7&*nBGH<*Q`N-SJ&3(Q-K+W$rxKU5sKe{%hfEIc=5;cZmO za+#vyK(+1zlv2CZ`+CQ`c97F=#&d*M{1e3;bLca|kcM%jGjGvpnG)~TcoM!t#Q!?5 zXmfZDzP=?b?vReMJndOkeQJgQyPh{cTSzq;aHz+n1-eI*w__o}Oj4yttM)ZbeecHqlF0z*d*~v6`3V{SBluTdzCB zn>$<3MnB!BY!n>OjYqd641)N)nZOvIc@D2p2+k@7ugx5uO`lpJl;}~)PE@r;C`S?o<$xbA? zY}EiOgaE_>pW7!4EUT>H#w++s*(i%t&6!NE792lpv9``x>-o$lr6MNg;D4F~E?y)O1ph@Q8C1bhh*yLwwLF-g`*ZEmz z<~{156sOT1ffj|mJyKn>R28a6PMb$yUTC<-S274*EL3(cgY2cy7~n;UOqXiDd={Lh z%;%^f$X7ip&*PWUWL4Vpy?sH8cnP?4!G_(_6=fD_NtCdC4dYqT3i>-Xf~yFoKkymf zzdJKD&qV_6EV`ikz6^XW@`GZ|!Veoul}E8TA8(k1j^)?)p!2azI8?nfIbj0)vF6!` zv{fL6zdL|Cg~)R{A5I6hf4YsW-@&BYa?zH^O!n7szm0X%JujqAx3DW$V5(s40VmY* z3VWH2P#v^q7d|P{PNt9J%@x-FeR2owq;@ZoJ9HF+XSg*xyj=FoUkFCmic|7Q)D}EB zE|!K3#ah;V)Jm+zg>Mx$PSA9LE`6`yppI#?9U`VpZ+ipBTb)@5#J zcrdoyK=jf0C%si_yDs2$f51VtKHa25#%uH-hD|391y*Tv}UnC zg_NKnq8PpnDOh&49MH}z<>h7DtEDt&x(TM3tpl)Gj#jeG)ADt4F*YphOryY1>)+Y}um&`8cf0UEF81Y}K*)|z2s=`n z7-<^pkTfdLm9a7&gCz!5ZT8=*X3K5xos>Y=3{Gpdp;A5sVOM*Jq~m|EaK+?JH#uQm z?`Q8N=V?!2e67e}YLmdERu_JTG~4BK=Q)?>N&Lina1ThX+hoJ1UVc@wtUIoG-(ctG z8r);Q>+UF{;K}cH#mavEHZ9C)j8yd@WtqPg9A6uq=+(Kk-r3CP`kDmyM_Z5jJBOk- z;M+6gp&z?3+wt!NrG2OH54UH9-;&Uk6ZkV@R3QyjRc|)d>H@r6Q$o$-yLQc^@UW=+C}FIVk=T-g4vBoje>3sI#YJsg3kS`w=!5lFs5=QP|5Rd+eLcF! ztDm_XFVinJx~@MbbG=!D26;4CZxRLD6KyFRg&(S!@ZFGHrkR7=SQ~~!rOb538_1sa zaa!l(KhEqcg3V|R=wQ9Eqb$SHXM0cO#{9SqcrVzf(ZVC(tcWcU73SBc@N0&v?*@KY zw-1}`Hmu1A`z948)cRUJ^5jx~1>6;EYa@C<^k&s{QSZ}W5K=uC=(=)x;R#*_(_`Bq zs+yCg=?^jpIP+^6j;@t98MYIW#{9tt937Kf&+^ISu{0KTR>aw+9gwzG@jQ8vCpu4u z=`1EyhnZ8-xuJruavPHl_89r-jdT{54%osY?fBGoqqNDmZvBV(*%j1@sFs>8X@)Km zb`je179pRk$5;m)^g0clN2E0t2ZtY~{ck5Jc>Dnu1s}eyBW$RlCxEjGWp;#ckM%1c zP=sfGtfCd?8Lx!t{G3Vy#mCYVp(RQ{qIitaDoAH_P#NLdqi&77Px7guK=4F}WIcg*Km{L&L5smmT8 zLyT1ZPF45;15)ugRp7T7ENH5eH}CXv)5OqzdP8N==6Jg6<%}`Ap1eKjsKX_9hiyzF zmDK|9>y!dvSm+o-Me`^GoSrwcxJqN)QWUgyn$Q? z%KaV>EtB~_z;O=^PX8Ni%J5$p;{TsE72)TXRu=nDZOZV!*QSh2|DjFk3E0`#2w0iv zw4rGE{~IV}`43MjsBb6spPZ9`h3)?kn=(Vus{QwCnEqF6DoQI!D?@AW-=*mPaH6KP z=KrZcZD}27oy;7JjcJ`+ZGTh|K>4nE#8&{56&YwgwhZTwMP{UD6ZKGqL^N z_|atM<)kk zeQPMU^{8f0Ijs#O8koMVEig$tFxTG$Q!f_NsCM_75Mh(L4;crjkJ-%5RXhNkl+TU$ zWYcq|>qqxV=c;_Iqoe0~X-7l121`Uk8rOwfvy@p356ZvJ(Hr zP{h<|5p*Dv-?w89^NIf&PmfIK6(0c8H5T=9jMNg;v%id=5Wra06aXFGFG4p}k`Ds{ z#17bB;0H)xD;Tg|MMqE54-1?R6AI8hmvNj=+k30;8Lqa(`1=V`uU;R_4iXZw_DdXG ztj%w;k3|K+FCBFf@bJDdKPril58MJ6tk3JG0wkdn80MH_a_sEtYD)iTzYoy41>MvH z*ey^W4!}AVd~^%i2>MkTBiH5#=sf}xoP&sO1@Q7NMHk!x{Gr(a955SaS`Qmx@PK>( z*#O!BoQoQ6R$c+rtTlkZ*I)Sus21>h8yf%}e5GgNE9JRU8RQ)H?qj1CSkUUq zC!zMq>1Z*~Z;OjxqTW|9TkG`aZY@v`fQJBqj9?HPpbe0ZhXpRPXX4_$J>VOp&8H&= z1LU<$KpW6SmY5Gvk8^ebdTVB292&&0%`*V#^BeQk7CI{eS`WI}AFz`DSr6jfx16_) z-^F)LHsl8G888(fr*Q-n;M?c>%lMg?R+DUS?S=Q2_hiDnl8UydaPl4fz;~puD31q# z*98v`fRhy;fnaa~9AOs~2;|chRhsg4M;qWLp&X%ao$Jf)i>CPKvSA- za(Iun?(=BngWG6Y4?+z29Prz_0${bxulFa6TRJ&vVPR_Tu5gr>aCTw$^CjK^0lW0- z2J+ze09>uDL-Q>kI45XuY6SfDzynKIGj;|a7MP8lKyFnLYBx*=pcn2u`ujuZ?F}GP zp-0=7s+u&|KH%H>{tkfcs#ho1cQA+R`G+p2zVC-F7$B48hrZ1ZKxUM8lC*Y&SIP%~ z^fKm6H^_=>Yb!_OH@Ba?`aSXO=(G7`cogf8lv6;;u6c-@dqE-=s_Lu31 z5iewlQR|>W<|jjahUjQ)p30!snQob^*6_;klJd`slYkS6zV|_A_zIv^HV+5%-!0sd zXJLmg`s=QMsCf}Lk=2ij^aZ3cC`n<^RM#{}bN zQyw_?<#^EKY$yRfIq(j86pC={9|H|#{|;)_2I$lAbcGSLE-iVz#xdD+?&B83C#Bj> zp~wtseW}e~ektR|(>yt14Ql|2J7uxLH{VnA(g&$ycYsGJ$2vDSIGCN33aR&9wZ zX<5aAn_pL6Vo?BIgLLH7xRi>?t{R<~u`(g=d)s_n@wfAh>cKUtTuYF7@lyXl? zo!ANe5B)OW@69tyKw$X|rtktXEYE05hA_pFl-8r-=KX>6aFnOpf=nS<{Jv!^L>a8? z9CrovV?0S{kJnBQ`Lva4Iv724ZI)DIoK86@_>V=%;X~mhA{j?`;otxldC}s4Gf=3@ zpMdcQu_?*jjoUAfg(?ivaw;VYDm3>(xvJQ3V_}EM<|*X&eDT4oaGkTIj|lBV6ai`^ za8+*IZmv9Gbu-d|?Q!I3cnf%3ZZB(b@!*j!8KDQA<}}iekV0N5dY~|`@O$XV$k{SY zSq56!-sq7fCFy4jR8N8E;lnv{l#=}9Ew{}hK9M-dcmW+j9N+`3mtb}4hdUHHYa{bA zc`?su0LKY~ZcZ9=75?(Ca|~?Kve&DV=0%1Z1z@7B>?Z%(t6f8ktF)iV)&T@cDCmvD zfdGW)yg*uA<5*bu15K`wN}_OPKv5RhoU_v7i6j{9nbYJVxFj9wszpEalYbH(wtGn0 zz~bYz0SPAx9TWIq#Tt3ms@0UpC>9@tI^?dg;Yt2$f`O%E8?rWouws{tcgm8)nrzwK zdx2}p{6x0@0@q53_9qf8N~3unM>)gvbSxF`rl`qPycwT-y+MRKh1<4EE^uMCjmfbf z7)JriBS%HfO;ijPX@e=fFxhqIvS2yIckJ8w(Nb@+^l80bDxmjN3;t<0GdWTRY!^mm zWfF*TC&vTM+FD!6=^t;|3yrfjiU9pq>Q?I@%z>;KR6RnPm5sPAzow~CBc4hpWSSx> zgnhZ!R+}cr*VvNlh?2jD7Ou&q@l&EZv0Tr+^QYMQAY}&hwHf~SyIk3s%g72y-Czq| z$6oLS>VLIr@L8t5H3d?+{Z!_4ASVhIP%4<*SEW`2dbnsxlnEYD`=hPIq;n27$z8B~ zNe0DX);=GLAN1Dr?#IpH2D#;lzN4dz78gw&xvxrMR3CgOi;sJGB{7da(;|ZCgsf7M zsffys(gk;FWOi#>gzoCmDxqu<2;veAgdtibDC=l4HS3hZE2Q2L&be*7L?U3_we9@o zNUeZvcYSm$@F&oVf{_|4ZE0@mk%&~haAc70A5LH$yv&mq$VQgNwyG~VN%Sz!C~6Ax z)NYAmrqnkM%JsIy258EWwP&KbKDGEWU{LvF+Xq?bfq2xm-b0 zhH1M!|B#qn?LOUz@|4GxFP*IBYsQ!~yFxGZx43nO-OVz$yUI~)L*%=J(KTpaA$rG* zNruYGeI3>BGtkRis@{!MaLvU0J1|(EeZZVhNUX7JyLqxT9ox}hK(Gt%v8qQ?oAh+s zdsPmDNu&M;*a`hL?8(};wa{0`#67OvPh~Er{VAYbjpZ^ZWOMa~|8N=7oG)z@E{ z)@`O>MSHy2%TUq)h{q@@m2%{lA0`>|E-Ki_8gE|m zHqakE(W^o(eeTm_z{fL;FCxx-pDK89lV;&}f-Q$IsQMNLN)riF6_3ND6LHVP>rZ z-nI<*4pe*cM?r1Ig_;Ym_CAku!1 zGe#lf8JYcX%c;&s*U6XdKopi?IqIc=lZqiXp9=b&%YMcWMko)JFl5SsunOk0vxM`L zlfs~iy=^WCpn)>nW~SX({w{c9KertWW@VSsj%Kng9@S{U z3nE88h59@ewT>4_aWz8MB5R`tAsR#6glXs>&+%{Ie`hzyU7%2cVvn65!@#^JK~5w< zk=r-vF%kb&=k_xkLSf7kDi$m+YI;2$l6M!6GH;DP&~$`tBu7wX$ghzR7O@DKFP`OF zBFm%|T8etXLV~SZk?|jd&qHx1V7cIIn>nq#iFhhMgq?7M^|M^%Cwc4-fqp3DH;%aV|?H9o7mq@;TKDDiJrWnuMeu@bCBc@ol znao^_%_}?(09Oo`v`1R0jxM4qrU%Y-)-rSsrje9CL104Psgo=aX{8 z5n23%MOq2RfwlQ^O5aneS|Kps#1RN&3x${Ivp%ws=J9yyYpQBnYqBq*a2#gf4D+aa z=Yz3VF!pQ^#`@uE!wR4(TxRV`asFIJv#yTW`J7F6Lw}sAEuK_8P38gjxzCVroz z6u1hDW8kp1&~BwS# z2$x)RYz&SJrOp1hsWa22CcbaC?t}?kn;iziHSDznWwHeq-VvTbtc{b4O8uIBnCV1F zsfHWio{xim(1AzQ6fv;#(pup30et*I?Iem=)I81fyEIcb6A_NIJ}uY?;#qgO^4f`l z_%Wk`>;8jhqJVCQ75FlJ7Z`Dp-d*cq`baaWh^&P=+LBZLp5E8^Y7sYpEY+&ZQk}Tb>JO!{ zWP!GhbY37YUL^hHC) z4KbBpp!_W7=A}^Qh*dILi`-MY9`M?@?)CD~BdU4pGvqG3=@m zfYr`UF)#4`wUY&2H{ly4%eLi)ZLLb$ZDibSHvg|89YbPZn6(CfNsV z28!5lz0Bkiyu9luxIpyiu5|zow4nSS+9fkZS~KFX@-RbT9bgOiV~-A zRCg-9UBtJiFV1-baMVJC1D29SDjkO+}{46rrd*kpoC`N45N8!>9sM#tHC z*%Q3x3eDLI@aE;T$Ch1Rn#MJFp}<R+*j0scgnl|(`ZERY$!}itdJ}s%FPnM`tX( zo@lWk#XYVOH`Y=wswUV2Ab~#jvz*GBBnN2xM#FQ87bfrfkkB($ueT$%;$UBMT zF9}Qq%dZKejA_gb)@NAf7$D>+E)pHgcPm@k53=K=U7EZ=#A`F1+)=3*H7)vmZXwUJ zs>O4VMVGPcA)odSXTBey`tM#`%d{#wzS#HlJPF%W?EgA>8^b_k_01;rdE#lu>d@fe zt5cw4JIx-ZwBeFIL8^}o<@(TauSUkuT=!{DTiUaxf0H5~#Sg^vXYy>(m}+Yo4-~89 z{mROt)ZWLO&iOgs&41(Sj9yvcSEK)u)dXUI9(Y>kED*DLH$eoMH`=*;^|UBz-lMO4 z#qfY?jqzlH9TM?(0;@bIi;D~l2jNU=)f@#)p$P*tZf`)nnd90=e7<}2?Y8*)?aXj* zseA-|VY=rt5Jx58DEpmNGSnj&G?l!jZs**4rJGGSK@ACH!GaMIyWYZfGJPxA_>&)1 zl8e`ri-L&1xS`34`D;+il^>+KVT_f4k4_IG!g?EW;GSAh^ar ztn-X1D1qsbIO6YP!G*fRh_uX*MHF&~L=Udulj&Fh0^Ix5dbq5R@K!&Hfrc)D zKq~KO>^O&(=n3xUAdZh~b*JRRA7b*>4GNqZ!ed16M`6?SY26hv>2N~ZEfYQ4ZfM)% zQ*JsG(h9Vb)QEGXLf-6+q37g^88bJ3FQ*$TUe3M)Oy1tfG6^mZIbah+^(F}>E z>Q%B#preWI-ZB}lr-pdgAY&NwPRx02tvlw&kA$Qs15J>MvvwFox)5I=~jV zWbh0%K?oStSp;$DX|y3&d2vd5hDVxRsy?m{Y<9+)8W4EN6h=#sHEy-l^&J;8GAN(j zzRRc1&GhoQEy(+Kw-AK7hiP+eNQ)(OW0OR+1n_;0T(oID)PYAc%24~y9NB5y8;H@B zAI!7&B?!?hwPHXCemCxa5~yX?bU&b$#G%H1Jv6)&Ax^fr7H8k`3ib$HZM|}UW^KY` zbI+)jrM@BVR|n$GY`jQ-JLexcm?=+osw9|BUI~VB4UH=N>26=0szOfk?J(z!%DN>y z@fZ;Jhk0SVbeOr5Azy~e)TD&djEKY#n0Q9R;lUP#xJXG7uEp&|CNG;Ra9J4K+0`4Z zXz19OAq0(+roIFU(E@Y6-1;0>X-Omuo1uP{?WtR5F=>}aew0x-V z<18bgrh{%m_K!*-8r1P*`1*B-q`_cFrChQ`o^F#0w;>j1@f``c;Ki*i3*&vWT;CcA z(W-Wx+*br#Iqva6Z#xROaYT`6{0TrkJSF1+m#R}gx?<*YrRggAjzPsi(X>QKIa5dM z)LzbW>FF;esn#wDH57!Doyg7_zjhrcW}Y= z9o^EUSZ`bVaQvTPV>NQBbi3@Xva}%UPP@7`W{ZxutE4s~YK8rZn`kH0Jsu}K>iwxM zwG^m@b@oyAnl{(t*N^Vy57yd z0{xVT!5hOvVnoVVMxW=&4y@SW@J z&s0YW3EdX?1&cPUxU!PmI$RV6&Thm~36#PqFUK@w`cw&2@lJ{1(}}Y$CChL*7eqrW zpj~2k1&40YaU2j%(-Nz40uREfWnXLKx;jC8o4#h@1aT6@2G8;XBxGV4Zzj)rmrQH# z89M5+tfp2$oHn@kyKMqW6(X=X<8&v|jIfNpdKTox()cP@2!EuT45=+&wjldTn>)j# z@Qd`$1vqAAT{2Ng2#}}w-aD0{O@9>4wErNEKGI#M$C{+;G@kUPE z-ft>kSYs#UNo*48{Dt&dl!7PQ*h&252QvravlM-13~g zqBTFB(qYt6!f>NFTu94HR&wSme%U$ogkRztj=8HYne*G5K=v%vGcdM5ZfiEgJTNLd z8}CmHZdT_u5b#?>tI$qMyva$LwdTRy9!^}b z3UAZMUBRpmKyFc42g|QuY8B9d(zyUv8bB`JCB=WW-GczjttCEON|=@t3w(ow?$bQ_ zp~NkL8YcMRjcSB-mE~Ud3&rw5ZFVH)Xq=qFT#*M_WzbTUAO0qsz@@`YWMp_ z*{siMNiFUkiQg9A55{X6e7bEmt#4Oi0`+$oO*qCMvS55i#{gDW7gDgwQ?>;D{Py_U=cwY-#w=BtSlR!l({Q^;SLEoz^b zm0ESm?fA`w+E92iJz>gX_u?%3?__~0TRa!%K^sxe!d}xVo6BZ)53`Y#OLLUdNBul2 z&na4NhoYo=wr^cVkTGjX$rpyt2+qXtF*iRUF=|R$tx_W{(EQLTXrDt&7CTbj zEPBa3B)tyoG~*rl(9H>B#tB}Bp@6YG%g+O-LoLJ>-nC(0y#NItr%f|Lp~~0c2v;D} zhS7_+TbNtsd-E=s^Lt5!JcHq^_zT+2EC9M)EYA&^Rq#6R`t3%sSna zN$6vU9vZ$`lIb#1OrXBmZx53DDl{H#p;pgc%mco1i72Zx+a838RCyR;cgb`m4$=nn z)OU2WQDMO{OLNo7vQP+Gj{7kGU0mOSdow54Rb+}k>ixx)Dvy;J?WpwgJ4#@-wr$h} zW3Oq(q(6R_3bO&Z*^Hd*Bzx>4`wW&NC&W_UYi$7DjOZQMmB+7P+6V8O5H`eXt?RHL z*e5M*z*gePb$B_BWcuC4a*3zcYbYF|o`-7GDAtfo)9I(mt2j9<4EG(f0j;mkU#&8l z94@dU-9AFUpSTp6VkGkK<^CYY33B)2`BXZM?UDNQiQ#0C z&aYU6y*lpG%MLmu)r@p|)Vy{~O70k|cZT`fvOvkIg!HApD{{$Y|L7D$%4#a15U>r? z0d{s1&rld!*9GQnrP+6n8Y;i3DvNrBv!Lobdbb_v+afdB*ANE|UNPZEqpYihO%e(c zN?B9d@rLkCSeh;gpap^L(*)vf4L@1)tJd5}G+eZ8o|4EFhrO#r+1~CeXKp<8)dZ=+ z1mTzTDj}Gux?G-T>u2`}boyfHl`G%e16RuT$spV_<0A>I**5P2t5|0~>+}6D8%NSN zg%q~yEqYEuL_R%wk3Fw-5t%^hHx67D(pxcH;lZ#k=@>W1?J4?)2^MTB%QS$+Jm=D& z;yxLs=X#P7Z%=-z;7(|69ESO8PsS<*AAtzfY?e_*!#tBV)*cezlIt?-BDW1UaS?kP zJ%_1pUjQYQz5V|UxMBJ)%H;nK+-OLM@QX|QC%9q#{{T0P|1Iyv&csZ>%EbPE3~qj1 z&HuLU#{BDY{%>&ei(UR}-R-x$_8&F>KL`y20X+jF-Ty3}{V$C(Mka>;Mr!^KM9m|pT;|3yX!!p1H51$~tJ%`VHp>m{ zkhFtDpaOyD+v0BR=-`Cp59rWo&TM#j+o@=8DYfXPKS^y~#)=Wbxj zugwAG?%IIsoq*InK-4}!)z$&Bt**ZQ2s$|-0*C=}_a*}vqxl6C0FR=EiOkQ9ZGc)? zA3Up^@a6z`K!X8r^77Iy_1d&WYq0-XZLfwiysUG7k509*m2N2BS*X1x3q=Azfz zJ31oi89zNf8Ue0%)U&m#MbOa!a`oA;0Kmpljt&7ELB1Lq`9aO%J-(Pxi7^3CW7l5j z!byu#vdZQ{L)@Cw0&Du=Xzd7W;!^R`__dq^NW1^_D@PvPqphFU1tIC(2?5qK)&H?= z?XaL0BZwmUHg5h zY_9+WrU0W8{r{d~fHby$OKw@0zNtGVw=d2PPC#m1QGoa0n*Q70gm3LY+9&?hpzqbM3dqDdEUU#%8qHQyvfo6XiPDQLXt3@P;g)T&rzWR|`iGxVa4#u6 zQ@*5=k_l>fQ!snS_jga9(SY1C=J557P6HlChVE|x)c$_ceFeJ#R>uDj_yANq`XbU| z`zaseKOQT7(e59B)LMK8Zr7iFqu=!q?(&{ePw)4HMqI#ljlJRj;G6bZywQ%r>4AO$ zvjbGB?E1RzoNN3*cNaChk+Q#F-@DYidyakUcdmWo{wzm*@!m>vSN{CzIZIl)%W<;Z zY(f9hy>2nd{07|#@A!o7R(AM8_u@2d@twL$UjN8h^a4=9kDbtM`abcX-qhCG-fri0 zesy{I>GS2OB#1>H1u}QUmb>G~7jFMe_mkJ7M@rMO0+b<>t8I+u!&*JYj!nMKF%G$HOfY zWvUpUfytA59wa8`G_Yn}fOj^dBicM%0n%jrMp}DR`*LX9Qq4C7do$o#HaL0nUC6yT zm@NCqSf<7B&ug_}dFx*fcHxTNkc5ot#*#`YG)*-y#5P+MK`%aP{ut$zuW2>`{FCg2slVerouBmITtDe|PXKA^DRu>fV)F^+2CT7TF7d2F7 z6L^5seM9>L@8?%$CX_64EZbwul$p08E(?C>8z zJdZgb_UZ5HA=YEgD$3$aUbrK4Eqt9~c@}~_)L+VlnH9X`Q;R9}&chR*EMaHv{kw^CH%s1!o$-RAZzlul`DQ^Y0{vA1eLd&QRXfswtAH zWvAduD-Wm29U2hG9i{D!%+*hPV}Gd1OMWB5dun4nX0CxEqV#}^MKcni@||G>{h#T# zL$4t~VLJb(PmvnJj@JqXA!v~B5`San)Q3h~ zD~Cv73_X4hg$P9QmhT%am0u zm9!r`AdZpSFlbPi+#+*UB^C7!-9TNxE^V3#p$74jtnrA94ZAq9V+t>pxI1Uc6 zx`JuCIEh|?OZrT-671HF^AwNk z2qgm(5z6L*Nm&BInP4@{?3h^x|9}dJA;nFy*jMuN?k3YZ&TFjpY?mGH*a?5$1knEo9&Ga#j}0t{x8zbxyKXW zd$VoZwrxz?wr!i!wr!i!wr$(CZG5_Wc79ppyU8ZI$-aR~s{X0Eb?-UP_(oSze55Wa zwqh}dImqhp)1w{yP?SBGN%s#|RxL5n5EAnd7Gtdc@8@`r{nYR^ zzinRa%PYSHWDfBK@u4$VtnBrzxDnuL{cR^P#X$(CJJ=-sLpKiCUV}|FC{Lqq9c%aL zl86mPdgFU}Q)c8r@eKZHuUys!^@b&6E&;ey5q-MoLzkrT1ln7kigTOr^!$n>+0e#@ z*f-DQLpIT!R;0R06ysO~)%evGLGhxDXdf&)Z7@4b7+^?5r;1ayMvj0T6%yGjNto37 z{jrO4^VK{z)-Qk4=Il1+u80vl1y6_Up%iEk2GGs=2_e<;Cj{xwOg;=k&JXiR{$0>^ z9=A^VWm7>K7-R^AH#)6xUjbl9pT@Y$>j=kHWi8!kzI;zq+k?xS%?iY|D0vp_|MP1d zf=@KV>myW~ZZQLqyNy@Iq)+Kn`lj+n zcde`!(b%ecXG{|URKt(De95Bac1@uaT0mOr!dk&UGT#8O1&ctlLBnm8%fL6-z6`z% ziQebYYQX$Uau(dfb(gN4TiB-x7DEzzmATLhG8uOO^%C_yx0x1L*MHePUrt{A0DNC3 z&fO@ynf#dx9fl_+Vo+)!znJVAI@%SSKz2(4T!;a1{Krv1jKmoEq_|*4mnBx&rC#|b zOmM8D8HR51aDuqpXubfO+2Bma&makZ5Hw%X-ajgPVLyh6re>B-D(=_qr&fd2t=gr? zh*+?aXMH^c^troAdAEg=(oJIADf!T(iMPVv&TtHAyobi$3tZqtWYH=2=t3*te04~S*16^J41 zR7G2;ET?P4b~lxcrp5Jd%31?ZZ=RdUVu0HB$^bl13+NvmKZ$?GnjZsLzg^SQEJ2JU zH@W_ueu5r!Sm|z)6C4~I;#NWxl{a^LX;O?CM-v9cV0c}#wuRuLbY*H9JfcrbKcv}s zm&{QJSJWhu4(Xh8xm(v`I>qo>u@ab`+{9(qGlUA`@fTQ*xgO1p+socT^Aq_76kZP{ zIH_Oir;!lKfQ8JU^Kav~u1d(AxmiS1R^ZZ-xRz^sdc6dO*gTOLO(>_W1NT<*TZD<( zKMIdl)Rr)pPs)a+V1!K5!h}$_V`? zFv1K%NC70q+4&O={&`1|>DZAAAo~e+TRZLVXT%lFgzSxzGh|t*cJ)8do$*Sp`U({?s49#u}SL+>mXaMqeZsL zLu$Ei9mdUkd^S5Tk@*&9E<5h{Kamd2E0Vaunbw=czR|ZB>Suz{TANJPeX?O%(BEn8 zIIMNv9tpWFrj|?u@R?b0i%-Ksm4-YYF4UDDQ`qwq@|~IoGbV^VD|ZYsLPY@1EZeAe zV)%YFb2EnJx@39t2ims5q0CHh-Ga_#-JI-7Gyr%6+R`#Bo%TO!KtJMO!$3(S#%yg? zS{>T)?qKEOUrCwbq})S7{r_!`I^b>)wN9r@n%ejPysb2^hlX|UK=|8imF@)$ISW<1 zNP*V`!aq-bz8NSrn%=7kG+xt1L2`CxWq+liHhD~EHkx|(Sv_(+(!bH>n!DiP1` zfU1!3SEG3NlIQ3xDQQY}_tMC$9K`f&DNh zhL!Lgcg?>2*9T|H%?xnYy$C`CaY z=IaZ82algldiN;JD_Joxek_d8;d#WQ`G*bNP2BX_8A_7@$EZXbKQMm|2KBo;t{$IN zW9ccdh2m|KHbVaOYQ8It2Gy|$SZmM2+%9?cBJJsw-XyvEv|PPu%-kdzTBxrvlQoNa zBfxrS?_Kjm_aZH^c5oHxqOq?og= zen-s_d!j_GQlqxWPp}D`0v?jpf@i|2-ZkJB*92{EbK_>pNZRHbkDuxw(yRcQ2!-i(-e=3;+-ebQZrou78F(Hwc9(I6e&$RvPJ8wpP+sv3R^ zkQJP>Tk&xez%#oDKDm%4f8BHqe zrQ%*g-5OYga};Z|VIM^ej#adP+vtEcOl0O^{BoT}9ydgRCQ)JlxmF2MXKqcCIWWce}>?@Rbet>uqVzG;i4&l#`B?H%sL z3~J=dh)3*7(W*99ILvOi2))B>a13OIGSwD3guUvf{%Uqsra0a;aW(!pmaMKKiqNyx zR0S(|^8E%nb<@t%=?2>_))(RF+T##yXLS@#v~oMLKZie9#L}gMhAq=AiNgqvL;nA{|1@CrRBN;~y^kYno36uQ_MiS#Af?NSb z5yoro%BJ)^T0$X;LJ*8;OxY^i zK-LbLzU|g+$?;duw8jXj+-vkJqc@D;4@AD(4#Qga!m*T0g|#LaUk7A$op@SRX5<|Y z2sT&GswH0D#WfHTetTe4r5K{xD-M_V)#J9jq3#NheIm6D^S9b<51M$<1;Ni*?p?H; zlNnBADV!JAt^bRsZr+d%Ne7R3d?qqg& zR1!7pA%9cEYYe%(#!N%)Mh*66&63~F81Z1#B2>iMOG?3bmvpzUD-*%KNy^#lGH2RT zzkZb+=5iU{m#`mtL2m&YQB3~+p`@UkLdF;P9+DlyK>Seq&jL^ zJD*a8ht7=4wh!a;gH4-h-qeDX;FD7fanJ6~K(sbi7(H6yxxi!!Z>Q9TR-)3IsvYxl zi(t=ht5UUQle^&))~~o&2^N=b#5Mq2w{#( z2OxUT58VMvK3ixuw*P{0XH6w4>J!Z*IZ$}Z-6-y|5!EHT`Dddtq9N8M(Yy4QhI+Cfj#(kww~r7LmMq=7%pZi4qAxEYR-+N;0d z)a0#Nff`Vv!U2ou&Q7KmON%t1*nUAzDFNM>&^g}#WymHJLV16Q%xmnj2I{X;UZpMOb6ee2|M1fisum^wC6 zw{!|tWuFdF{Jk)3@S9tS$61S8{fJZ#8%wr6dd<+jpOZYF)3~?s$oiR45A>W8`cnW= z6(MPu(&w+3{frpD-jvzc=1yHX@C?@prGpv4Wwr@xAW1GNw(T^&@p*sB!I*`tI(g_t)BCxOUn0Ykd}K(DbtDhRR_#;IO(TFWm+sg z8`g5stSnTdI8pn`Q>BPf@r!%y!Ro1ajd-D2k)`joZ@pt?&RBc1qNGqaYju`)G0uzP z2phWoz5EMu>NF1G(&?@`WXVyM{Suk(h_t<>uOI%Jzg>N2s!DI%iQgoo?nh642vxl` z*_sj@u>M4EBR%Dcb-#c>GB<=#f6d>K)34`)f)`EdZL#@H7q!>51tmm<0qfTSl`SHaNRB%7SV{ zy>Cn2tL@90bI0vkQpLLlDmF4n3=DXnSd#RsrTHRfV#U6u_46ec$HL5X-^?6vOVv+V zeBXS^8Xq=8at_ZT!Dm4_9?J3QWg~L#0TZE=yFdO~(?oUB`@TWQ7>m8Dn?1@p@DT~~~gHdu> zg}K4+6OdIF@fDq{l@M&1lf5w!+m!n-+YK_UtLrv0ukBVld zDlUI>0@m>sf9vF05^oj-kpS!Ewu#wT@3=zSJi*YNVW7_ah{)vHLlE79IN#NqYBO@c zoL+HScVr`yc`F`;rC@SFHm6V;)1ph?hEGJTkWCU#qJ!YqZCBt(?Tcq&5aGs?{0!g- z+hRP7VQJ?)U*1~`ip0+I#deT_hBF)TIgZg*P$$~r<=bs0FGQS11Ta->infTL?->3m z=a8so=MOm`(ve?*Yq#5{K>PW@69Ze&rCgFsOjF5<#~IR_t;|zXE%YR`XP7_Eby9D85JUCW^g79;9`{UBaW<0T;_J#bgRaVYfQ8TMoEI>sF= zE)oR`oC7sxsKy9P{AoKQ4!qEzbboSUJ4ThVSx2;%$qo*S*>J$|^8_murL{3mluhQD z&^58SK!6;<&nP}nu+~Wt0HwzolqJJ6|Dzbb1ioFUVtiV#p+Wr z9?Lo&nC-Wsi}tM{$fZBBANbzEu!&-FW#I>U&{4znVl($8)<24QGdt!Q=!T%tK-N02 zS=W_ALI+SG23{M`#90T}cLC4P@ev4orP8l=5qh*wm@Y|6YG$NE#Vli@j665B;rpbd zC&s7_V{yEqAgT&S=qd7G9tU_0)(MT|o?=NyjAZcA&K#m+l zO0RhO>NAt~k_YcErskD)Exu#c^6i;P$-LaQd|8ezZGXGW&RB?8{rc_Eb*&!xi7PyW@riT&&!_uE(7?8Yf|U7o6oz26o#Uhh zAf~>|tp76MfA>muT>Zh%p(F_bDv%Em8Wal4Y?R}x6?|)oLSh_lI(Dt-zr$m5`iT!F z>!EpW%(`htMz<3M2-kW)<6N)oW9#teQiQp=5%tOh6YW^eJ31SBGOd-K#@@{$a-0Z} zoFILbJM}X9T}eM-a<%Ad+B^A3y*t^Z22zmS*{iMs*Cq}QHNK2%prap@hfxlQ7a5Hs zc-P;MFgHlkt@zS|ua*rB%EMYh+b@kt0Jr;A*XmXjE#4Sx0*zb{Cwu@Oe2)Qx!@r!x zVoHx;d2>n973|p+zq1&SGkoO`Dx0sU8Hq3A-s{86h-iXe5Cux8AzaAryUa+_)Q)d* zA0pl{t+`>zS|=x)Bpc%5->j8|PBc0ehaXDJ21u;;n5D!Qk#H)*zxZc%Jy$Upw}G67b3;kiR+|5L)bXVr%_l*DGKd?j zu7!xvoUQW;3lj;w6}PkRazb(_7SFh)^J+?4$bPl(CR3D--aCZPMfKB2mNZ(V?A*XW z<*57X9W0phhqPRTKoy&y&hpX3>KQ3nQ%wNkRRsbi8<>i|CRY~SP6f3%iPD)8mNeg9 z_fanzcJQHmL)fq3V6W#Z?`ZloPXq8Yg%y3wj~TuKy_a(vDu57sg`lZjb+^rdGVH6b zVgy-r(fgjZ(l^){36Ek0r3kHRL^fnZ4O#Oa7<8D#;&DP`Lq~ zlycY8?4yAOZ#$N$q?HjPP6EEsv^mSGMr1-q@%(LFQsXYZL%Gs6-q*xAd#$u2}-1PmCQ4+9%@tTr%g z;D+o`($d61Q;(EtT>OiTFjkVB)3}s%^HHqQQm4Acqnxc`7CU9N-!mz82+dB9gE5!J zesH2rlZV^ZU4)pAL@76INhC-&P9SIIqPM&^3c1C}ah&{kQ-5FjgsFb&T4Vlb@^x*y z@fN2r>9qMZuH-KahrF~rTwfAJOKGb%m4JGwwnq(ec$A1+O zg0kFGFX4BV90^(a0&hTkjg5pg^BqH)vL#BtKCF=)e4i@gL(KEAdbo1ACrp31=)!_2 zR{hI|4YA%MlYP1_3&PBYsPrSlV|f)G#=vy25CF4RCKxZQWwJW;a^+yNmGui?n$vGa z7JGZRUG383w8(-Ot1N z;~s9_KH*5BeP3LqTPX45Q~5_z^lVIgO_z?ph=&%-=~Alp+(6@VuH1p#tvF?Qdl0J& zkxA@!n-}yaEz6YEN1#}J{n=$#F_@NPwxJEfJ?zGSZ%stZe4zkwKldy1fVwM%?j!jEJVbw`u5Ns4i!B) zY|Y4u7Ni(v^g(sEM@Hj#ujPOYTu_%1N+{|!e_gP1wDCSgwjn_~t!9AMMbEEZirVze z1SPZx@C9n&JCAMo z#GGK~cPH}Hj3fwfwZ3C^b-1JUS$I_B!v{Wk;`hr88VkHbvV>a*)!E|SQ$Ia%I)OcGn`>iy@m$d7YT-UrZH)ZCq z9kKSnAl9U!59u@EC0j)6Eg#VhUhZ_4UDz|ed4YcU<~zxLrK=iwoD-MzLa#+_55%`N zFOy@etrMwc*^(U_(OehkgH?)gf5J{iaa}7P`@>zCypbO%#9Ik0_U^i;jAPmUpgN4*DB{6W*W~gvi(nb) zh`)D>3T`Y(k4fIURlW%~64dffv;G;ea`V#V4CJ?E$?56o&dKk{>hheQ{279Q^X73| z(E^kA1~WY;QOHTN`z!8tTxyZHPCjbl%#9pHJDDdy+L$qO!rA_bsjz0)dpA+h^}=BI zAm?*-G>g)FY2}#|Q&aPVRglO-=9X-!ciK9JP&#wC;n7U|Eun#O z!`Cia*+@*sU+AX26}?e@zG&f;5)YgC`}8cO-i_8sI=6k9oEXbqEMXn%^sPt7U|R`m zd%>7IVGK0%w&-ia2gaBM0#`hDCXdq+c?-h(|{0i3X1jv=GUhOw) zH^TKq;I5!&TuVH6$r(GA1S`P~9@x)$B@uY_?P^ho-wL?1@efw|$Qj{;%QKwKh80qy zRCnbXitSZDsh8KHPN}gU;q(Q4X+8rIyQx96UvjiwGRR5q+ES;60X2eeN5VDCRQH=meY zOUaeH`ciTheLnq7v8p7#px6DLN4=!g++O9TgQE`~cGR$!8!#@rsV0Qj*WyH0BsnbS zI4?cou?oJ2ZS4qqVUV;?@NX=Cmr?Xdl?+JVJf+4?IY|3l7IF&~2Ec51sRQaaGM(iK zUyj5%v%-}Zv7BnhhE$T|(SFa};w-a+GOEoEgw1-|TIDsxnQl25J-el)FcLr|Q3h98 zmGC(#V0)%tv~W+16lv=>fOk?zUW?gYz1rOK2B1*mZHXe)3wY>vfu%kqheWY$d6yTH zyeHa5X^pccw||94vv50U+5B1}`ih#Yn&mnDRK|w!ypB5B)>Zdh?O}xS`Vk`!A{gH( zK{*8*YGEqA09tQgvOQIDc|QmLU?2C)Uzk0LK-dyebSY|=Q_R6c(`(PJS{#d6ueKgILWme+GG3PnsWgy&;(>&M9tzKT zfaPBAA|DWKN1QgN|A`NLehpj~UqnISZ7hl>x~RRFSxajODV*=M$a>W$oF8_A(@P_sZi58myc2pfElGQAt2`2Z)M z^C$~n^HQsCObG;)jVCPpKU_LX?$9O8bVh-RJOe218jl>{T<Y#t#GUA?TyQl@uUQV7AR?sUc){$n7-?A+S!wbJeRVUKX zHKyaR?eRmIZuJrDry%E{smxtd+tfMey*Jh`K8T)Cb>(=s8II#x^y8kP1TwDaWVP=3 z=Bb`Sp{~U88;5VdpDFtE(9bbaZ#5irhiBUHt(%AnRe177K82CPK0QnbM1|8a2mU@B zb*=Y&6hfM2*X3$F!|-e96ApWi0_~@mQajtH-*=%^`y5bwJejy**R}`=F8EwMQG9L$ zl3P_J*T&ylB3?6E91sS!oYed>cxgnao=p(A5fO#AhqN5}(RDS;$Xrye_E_7uj~4%z zIJ3-+0}2otm~*g3*<%r%xc3Vcp{|k+A=NCr$dQzKDb3m_9e9jwB9EIi@IQN)DL6%i2`;@iOZ!FoHAA z*(Vcq2k9$s&p^8_LUZi}@JTeFuMJQBD4`y>8`bs{OiIel`5sIxxIR-iV71q8giZ}< zbF0Set&m1v_`SJ3uDj`NM!o3%s9RuIa(_MvnnNEHp658;Tg+Kh{p`Ecxfks~YUX$T zc(zr_1q(wm4e6%OJBTU2Eppr~&ol6S}$b)V>k#R3x7))Bfq20}JcqqGn5fQx8 zM8YA(j}-aUryE(aQN!Kx%BtTJu$@-Nuv18cI(I`3(Doz{@|1l)5HZF)Gb@;_R7@@Hu)I63XBB zLE9#3$kUE3%89Q}8YZ${XH~_@!UnSHdioc|#|ny#v%N;q0u9LV=G#ZNtaAs8BR^y* z41Ecj4q!}X0?gh?MR92VdF4{k#ga~0U~1#|6FM*_{k-H7)fw3XE_JO(&(|K{max8U zM4v79{o4MeA4()6t$bK03|}UUE>L}Q{gNDNbr}GtuXNL7-qxDCNY||#6N)MBW^U>Ip^KQ9HQu=G` z^eQz_8Y&|UEwzO;D~?Vj!oQl)Dal^^|o~dBR=_>Xg^+|0m&~J*I}e|6RA7<*M_qZsJ#* z>d!&i1&6|ja6g57I1=FdvYYG&lDL23>pPzRc&~3(=AcPpPz^Sk!6{VtbXcIVLBf$G z?_+T-y_vGMoMousWqR*-+Bi1{hN+>J*FsARmE<3H@pMa$+ZNsjS=*<-LEWu}@%WP> z`5<}P*|qhR+`xPAoDapB}nuA6}TJtj{I7Il4pGb{gOeaV;UXG=Zze9xo&SvUV z)5zj;@y2UO(sb}O%Xg-$h~(Rtda+etzRUga6o1=9q;* zKkW->RX*vSe}g(rlpv)y7JVZ2>0n#3Jn_YXR*VW<0kBoX*GXm!@OlW@qQ6p=7Oqdb zGU$`aORcH7)+-)arzo$K1cyt)vP4jVz8Vm-OlVhOTP3Y zya~mk42v(rY#V_fpZmUMaW2l zfjB1-s|riEK8_%=av9)(vAlrgx0By)k%kbdPE-z02!W|9Cp8%7IN+McprBE8s1|8< z_?TS{hgAwzx#y>6ik_Ja5h@q}#CtfiuhCWu4ko`LaqXBKN%LoA+MogEOP%3yeKwrm z$@>zSPE3@KWJR&fP~>rQUdT(rURQw9T{y#UTVob>9I_69DV{h5p+qKt1dGmM6=?A) z+4t3ea=RV}y7Vc3Me>PV9`3JF%`EzB#qM$zD75>1KFUjcBPSU?cev>w-%5((5m$Xxp@SS z6J8?B7bIOM&9zp;Bvn&u!mpQeM4A+D9~uNcw;Z+0A=L9!JzO2Ye9qtcI=~>+C-`9R#2>_KPcF>;b9blN}Y;jC{!TC@6^Dd~#;H^zod; z$Bf~zTtYB)NH){cGnk$!cSP*9i+r5h`NIRe?Zt4A2N9M&t6IMV@WvG?{tr|a$A6$s z|2L{jR#Zt#O!*(xr7FezkFfeD3bt?|`ezvycd&OA7IyF;(xGQ%|L<5AGcya@|BpzS zp84OpDz0XB>i?9>|4DW+|0mfc%qYSr#wgCHz^KBg!Dz&2@;{`?ri}kZeEs9SEEz35 z9WBl5{|ETx!02Fa#_0G@vHTAK`#-6b{|o&3-$*dd|2UriMS`)h|1b25g@}WboBMxq zVgCt&adL7q|39mhe}k*0*sO6-Go=KeC?i5ivbsh$yGpMy!3{x8BeA-MhlIF7B`v~B zM}`!XxI#%v(h@DAd=}=t<=l1u?OXj@XHnys=X+yw=Y0q0eeGGTZ#u`hpV%t2HKL&+ z%Th=nqywk2#>SBbF|212z@h^)w%`;k$TjpIAaW>a|K|Fi=MaB89$DrSj8t4x6Y;?=SiI{fE=??i_sQ`Td5v*_5)z+V%>?T^o zo7n4*{R&l*`&~zI&BOqLm;j{#Co6ZsIEfDS2^l;zA3%i#mxhD^W>^a}4SPv+Puo-2 z27BH1#ObpV*jEQT30~i44RRV^AFkIU*n5kFh!x;I)c@uCx9w;TLsAi`UPN#qfF3SR zFz9E(4}9qQ@480#UD!Ry^nk`DDp-GCUSA(w-LYA?@UQ=Zz)9@%b1A;wLsT5>yq1LP|~n`udwIiW&4?1$8fwXf5fDM)Xm>S|#_X&_m#lFK}j1 zJOKX1lg@D|-NY2|L>Q?PAT}^xML6)+q3|!lN8bfNBkgbc@ZT;X^qAx&2hKBxzkd(H zxJYxl|B~oRVFdRk^{Lq4btx)0j8 zAemPT-y3`f6?kVimWLvf#XO07cPj_-2{OF*|EN8Mx%6;zXg9ccXoGcU=lH9ygbgjW z@tws;ti%j-cna|V5m;wRMn(hk4x80a=soDZi)Q~h;qq_`TF^^CAL#M6{G~W4?2g+idC7fOq4N(S$Te7$9sc$Lhgq*jJx_6 z$edQlyK1>7$ZNE%M8ohE@Qn&W`8=V!<}H`R#nd&`!YH57P1#c+GVhM|pW|E5UDGAT z7UKQv_bj)YG2E7K-d~To?kqE%8;)zabHsE|(H02N`k{+3yqJu#S=^^C?I22S*eL~1 zlWRyV4jk)q(Z_v{h>C7u#ns*c4GGR&*KkvaTcvN?OOAS)yVG*zQf>YYXC0cG;05H` z3ZNYXK-;r(`EGIK$IvvjhLbMnegnWWI z{=QuM4u%2YEc1OvASqgV`Vxjc;~PG60@OrBjwIrq>_Ylnx2qGbfu@g^BMz4d;7_u& zv+B^e)%Y>O2BVAkxUEImviL!71SqLbCMSLJBXMnnEz~UA!A`CV7aq=0evh`KNOQIA zeLIPUxQM;TZOen+)?!^OieL?!ux}TiDy+@V8ryMolGWH5@lumi$3o2l4#4!yyW^SK z``8l0Q~?=vXffzEJ3DM^0NX}n$XD2$&`@Gw?1$6EG9iS0&a3%LYg4tKe9cw$%9SP4 z{%F&x&s~r8BI237NkzT5r6AT=i~K(5jmCX z53bctkr77z&`{a)zp!^v;fjjRx!~6Myayk~&pTjJM+ymTUPr?+wLq=P6F?F~9F~!h z$28}`%Us^9Fr^2+69WvhmUXbuyQz{g@Ix&+jj4(01`9=~t?G zUi@f5m&f1$nhMJER#}V6SI`=v^?28qG^fDcm3=HvgJ+!s`@u~0A4=i#9USbod6`!6 z$4C5dMtllC1;knqoI>y01J&~Ex0Z@#3jg{h&}GD}^E9;=_eU^KEibfIMNtFVeJ-1F zL8(p7S(0b{4mQ^px)?81t}D5AVyFs2er&~o+$O9`4?)3-fKY1EBGNAgONVUxS^IN8 zxe5!4thyf=LRe=g$zK|J5T|aYiX7wP%`-HjHO;T8#T^K!-cE8n)${Zp@r_vxcWmIh zTS06O6#KpcfYj%Rt$8noKlAGlTh}hje(1dD?H;9(k%C)%jeIr-ngC2N96Js_MIv9g zGtBubL!#GT3a17R(~E)j1I35dWP}FsD79pno~S=*;uXzL>W6RRR3ub9Qg%zSqdC7@ zI*V*85P!~&@o}Pc1?^;@eOWR%F}qLx&BD4l-= zX<_B*IO}}XsP^eI*`4)Zb^@FDY-M;KwMZMp(w*qP`~0U-TG^g`cAwa_f}dlcx|6zSYZ)=q>bRkny-qy$^fFO-`e%>T3g|3 z`N%V3owk0s{&pTiyoWbmvr=AUt#Ar;Xct@mRth*=g;hsNeA){Ad|w@6X+fi{_{sj| zcZ20urBJ+yhMN(rqm|dnu~nQzU-bJ5MgbG*W_e{u%DVHdpC=rWLLut)6H717<$ijv z7?GbriTU8oh$FLR-6HDM4(kptuss2mt#D1KEm=|CKC!seVggjt(G-zjd7Wid`%VWg{|i zW^*|5w86uhLt807HRO&{DYm=l8H9L;o}uT||8niz)hw{1^#KHRZ`FSm={PoO= z@vjZaoP5EmS}kDI<>R&BUHSBIVjKiq%lMG)c(SV^{ijzC2d~QPXx|&5u4VG29Gpsk zX1daIq#Sn))yvvvKHK(or9#Hz)4*g-rj8OG?!m#+XTza2Wnz|B9T$O(ik$OM=1e8V zoyq5{R2V|qNU+HlnwqWzXLnmJaei;b%iSgmh>ooLhs|Svx^=X&zd`y*wz4}ZM>9Fo z#XU&vX$EXUp$uu`JlO)m9&xU&+=sOHc_h{O=OR1!+b9vD)TZ-`DVmoIMsRqq+lu^? z=})t92|M%AYw0EI&S<<#p*qQ_b_E8H+`t`mR6{%sDJn;|-H0$uS6;Ucw&!i=9aE+} zF9>gn&jsk+$=vzPCZ^>FS&{Hica|1buED#HeIlKf{?`{pUf^F;`OORXzFLYo4j6o{~LL$wV6KMsx5~8E_FURk8gGQSrFj9Sto6;1j zr?xx}^lQvpKts7Ij=FbtU{5$KcJOoM0Uam|_JS#cx|Hg=DhuX?!%M33_aio!?&Yi# zf7nauMCbhNMxsOOgkQ`ks^6QU)lK=Bx`Xw}KsV9(4d(a-yDztCo$^C3i*Ld(eG$^Y z!E8Rhig3ZB79ha%%9WFPyue-)}~JsVRA7CFko)&0hW6 zrd+e2EFRIJz$Puy%8sD-6Fe}(G>%@r3_xq$vM>M9egXWzW^o!U&U%ow$<(9CHuM)u z6QZ9UvhHM3lC3d0&s_9e^GN_x69RnG9C#?fSbgM2M=&tA>s{HFuD4;W;(UYoQ7n0B zW?d#n?RqRhqS+`P9cuf(?5cVNnz|FVNFbV$aG~h@k8p@zq%KpER|?>i0#NB{?w@3O z%c(>xX^hc=ulZ>z3vzy3H(TazIey!Ks{x8gTieOW^%ug69x`?0&XEvhyD1A%+F=lc zm9*n8(vahs`>0bq{kBEmrQvwUh1t5fKY0x^ptVCYJ8|7!ZqNvN&$4R>qC+TAO#xTX0#66mJ6m=Z8P1wBYT|I&AUzU#Nir=lF?St?ZWkLeM zkEp2)hq*g+T$w?)RawBAWI@MeAM7WA=ds9egImQndFe9c8k+#ioN=eBvwu_ip7fss z0+_vZjdxZ8DS%ckdWA|toF+HM`8v^JkvD)FvS;A<6+o!PXLRbWNu}{O%a}WL%_9FU zlaAU^GF`@HS zhM)LBYr~07~}JF5G;yBp`?oPx0QJpnO z_fMNkPeY0Y2}>OP20Y{)f_~WTjPmD`I}Q?hBbYO!`y(M*5)yf-cS-S@_%LBE9@ApR z(ghoaEA!grQEpmxZ%l#L@^3o;)e6y+VUeusVJ{z?%iOV#JyVU>ENRDq!QG5VT;`uCPCFx|GwrIRBXJ0i|K@qYD?fWKMx9y51y9- zBUd19bl9ZHvcK@M#JZ;yg^R)djgpj`uNZ<}FuUinkRV_+qVk&nBlgl=OE^i=8S3t7 zaxC90T69ifXPGXA`a2nK2(6?e?xh^oZfM(dc1Q&t&Xu)3V6MT>l*M=U> zR}mLc5FS(USr@uw@1EGTauNt4-!3^xZ?>*{|5h2w#0Ya?#=vL#THfMT@%4D(&8X_Y zje&sjgnFK(-iwcKv6#qUWv4PfXS`oYC#GhL?OW^FWN~kzSH?r8hqG8bMurvq04!nc z+QfSK=4nWJun66&Mj#P)!uQ-oS_RCiG!9(l81x4r(i^tMtZXbcSuTgQt+M--zr?X< zkJ%+7i`)sG=B@~Ri?8$mNnL2cUd9FBMd?>mD%Z6BGAP8p#l`iK zEcJVid`L6yagn5HpiG9L^d6Av8b5Ewl$0K9{2^BYtXD+2#CXzZKcjlW0oOMqU~qd) zqUjo=YX)cGcy#8KdARJDlfHOmrYA8(hFPIu#Y;nnCZ8~Iq1yNun!@bmyUeI*v6-eo z?q;%_B#>GtEuf=uVcs|`dK0zSF`I{x#5E+DL zRc)i303O#cGvtX!WwF!IOf^7MF z{K9SSFS>4zCyy66yj2aTZzlC8a{dzrPps9cA+QupQ{1(px;$a$(8!M)@DY3VgYZHD zL&(TPkWHa>ZhAynDi4^xc_XIehD}q%H0Y5yE0Y0TFM(j zLUNMn?)V+rxauy(St4n1n8{y0S781wCUrtSsv=QJMjePwbfmqiw-?c*nWC7{ zmJnGz=TmD}qb^c6dLF7lw>^N-eKZi&yGY^LJ`)g10|JL4FhgG$-fB#UTAT270uqkQS#SSE- zK}u3Y=?3XWK?#)(Q5v>LqjX6~O9>)yl%85I<;p!Ja*wXpeIXzrEv7Dw>V5GQmOjs-Jn4fB>5Z-ka3xtQ$~hxR z#x-}p65Q%D)tnYz1*@UZZ9IpcuG#b?eB0ePJgu$3N^S@-AYFNWK9t>|m1*;e$68mb z($d~7o#1I(mZAh7>q%i~xMgCP*_)KZd$}0ApiPnm=`PYk8zZGpinog%lbu-`Nxi7F zXcw`z9HV~!)@1Q*_O+4@F1yWWR}23uJB-0iGBz+diXe;Vq(b=+M3t`St-?a1fZa~a zbVyE#LLiP*ZDMRNa`&rI>znD7hB?vX`53k`2ch1WVZY^_23qATRohc`DM_T13O7NB z>~|JW^71-cy7?Uo1&$B&gG=+g98JCZ+}#2&&mkJO5fe$_JD#z1Zrp{BNa6gtG5E53 zv6z5jn!??W^yqJTCcMnk!Q+ML?P0i%$=BNUq_*5IeXeR6+*==iox|k=jVsA{zVT$4 zykaSizF48@-RsH6Xw87>no#HJr-%Zkj(j~)5xdrUIKk!$h7Y+Q9!+c(#ASGEK_K$o z>5bEWf~?pK`$C)DpKV7O>s&HoE~s)zQ&upsRaRK7bd?fp7Vh#fwP02_hdHm!+De(^ z)de?G=uR}cF6jKxNmIQ)&La~OCi;F$;C0q^WbMJ{or%0)4fM;={g=fpVtD=zg41S^ z1@PemeT(rt`%rx=+Q$M8gEt>4FucOWF%rs`a||f^>P(lAU`kvY38~0b{qyS|7PK5* zkHad|O<23~&OBGtT^J~6=qlXul%)T)-*ex%5O-CjaPj(m z+Q!TWVs9bcOXaFi@9;?<9J+_sCs%J(6>GUs+}y7Sjom4FKWJCH9{kN+ zds#Tbf?Ga~Vo8R{lBQxKLZy){cB!7|mZacx9LB|iedDxyx5eh79|1!V0{!M*m6`jJ z8cbmBvCZgmQVF)(8chQ#S~;h8InucpIcyp%SIG2Q3#U-IMp<9?6=Nfz8$BjroA2=| z^|S!~i><`%>%{~4r&=)lUG8TwT5~&Gl=F5D8IsU_!BDioDq%6T~pNfOh)3o+%)O+fNbVc zyGs6=KDxkgYk8spg$RerS&-magRbY#x24)=CDGhFj(gDNHLFV->^bqbo|icIjr-I{ zlbFI%E}T(a;pkEny?jH^oU3oVAmS#j=6-8!X*KtwiUOK9@?BJ&mz>GxJO5z8-OHg} zZ53~j|7wuAGC9H-cuKfBpQcOoWjF)xCH+d+BW}ui=8qg@YL{>8-@c+odtJ~7(PKFj zW*gP1K`Hk{PTey7*|#RS2C`!Pr+dVplstnz=@AJ@Z(ZB$*8yF=dgHVd(FRVdc%o7~ zYkVY1cc4N|akrwF_SHrY)SNFCGsZE6zF!}E_rmhvtcSiO8Sm9<%QiJBihH-b-B_!h ze(_MHP&N3tSZ=l>#gtr1^4vFY)gUKMxxgU$Jk^_i{I3_yq70tZ5N*8dey9=upvre4 zG-=_W8@I)rHH<(jX=aQ?LvL$v{yk=<(`yMM{VI-|!qRq;=HSiNVwFCxP9D7xmCHNB zI!>-W;z2@66AQ9q>Hf+A}&NYo8*>z;oBb>HuxRj+l!&2tDz6#Y?Hf^+)yNi=Q z^WvTln$Mdh-5keRV5O{@-K0P}RwMEO+ZPF~QVi9Ez($TEIgsO+L zITf{?`B>TDGqr?4btjLuUI_W?j>uH<3XiMRpF{IsaUEKgREA^>dQ9F(b*R!*=zOBA z*ht`xV$dkh&o#L8HnqZrk6F%V|Kp&`YKRY`8J*9lu&ZFNnB@iQmd}N!`%41YWa8Mo zW2{|PIApel602htcGF@7c<#EEWnU@zuskrO7`eo?aDJL_gW_}=G5202QbPI>#Ul$4 zQNN=JGKV*ErM;e9pzhfe+rd3klL}8|-k>5mg4TtN3$OUwh*y(DgAk`|ComliYoE@u zSUEkL&n|xIk!I%H*M%3flSjXkoki2$;Huo!w=_0+UZzBK=YaLXlt#af(2qT|Eo(n2^}REWCp|s#4{U$h9O#(gMFB@eDQ&` zLB2$~s3KgpkN7sUr1m?TPlE+4Jn;i!^&?aEKb#i$W41V!mm@qmiL6|nL$y1YTG*ux zk*g!RETnUVJ$MXuM_l@DBqIeoVsn)(+U5dFXo45v1{`C}_w>S_SskaI#=YD0NrEM0fJp)s_Pv?QuKX@jywI-2U$qIIG zj~0F%L(|u3y-a59n0UJ#>qlecViYnX_6Y(1k}H|=lE0}i$G+};6G#8vbLvfB7sXlu zo^ZqR^5u~2*w4lL=*WR{Y?X!>rSY7jCO+TW-J%=) zCudcif%k$WZsRKc(s@=52A9*rED#|eOc531&8nM-SbUX94@i~2UvDOoK0ru$TjK+l z*fcN4gL5`HigcoPn_njqzY(N%r};4CoyI{R21Gu%ToCo`l9fbbZneOxGC0@y25+4a znJG^i*DsZotm`8CD+e@xvj_ln#LNAk76B;9-w;(0!!80)1N`29w*~+KECB%aRON>Q z&T%yA$j+`1tn>a?C}r%Sgz=9s%REph5SjUJcXmYrD*=A(?E0JkUlH*8tNqy973xy0wG(eE7**K&sEOcbR~PlMW+fNG9wBdCEeyZm6zzloi>Fm9=kfjr46prBUq?>I)y_>!d&Ew3oeg zOpQqyDNP?;VAOTHY$~zKEDqiDzlDbyF!niDG5WW z_tWc7(8&{W+!Y8I=u!AY;@(R-hs$_NJ!&6pKQh&sNO;~x_`_RtPMpUj?x?#v7Hl0J zTVrrx{O*|?sP<50;qGm7XA1{(0_iE}MKTR8jhMF!X8VV|^dxbfvn#D`yC|9~Lz-bZ z1=(%eMLY_nR>KN;z?OTFlKDjPs{6>Oj{!rTDOVy}XTA}s7ZF85i!>YAZ3C@vB9hYT z2G7^kjr$RuCw#A|8P9$*52bk}T3!V{jw^J|J>#9zg;$npVQtcqQ%hDzvzam6_b6Jq z7DGK*C-zZBeG)p|HyyQpH<<-ev@HzOsBxkSA)m=V(v z9B|)SZQdc75_2i_zV$g{NzqW+%B!B!YbmP(f|=t8rPz^a*}x9Bu2qLb$1iIsrou`B zt8QKS9TAH66W0f4WcltV76A$UGqUNxzt-Hk$~q!=?w!Rgw^T({RFr^$LQM1fY9SyIOS`?ft)q=S2#Q^J zqyh{e=JpPb*u9!TFo1J;{htRAC~&Qt=0=WY4j?G_M^-f(b!&5MSaJ{yOYl*o;n*y{ zhd}?|iFEUSk^V2z|KmuzTW1-ZMEdWS{{1_o7339FBxHXe4ahZ?^P@;($B5sN1~z5? zg){`%3jQyop~sQ_PVjF?L;eP70Q`TtrtWW4THV)ZMtnT)s7jOWZ+%^1cJ15zgH|LI zV>(|uxbLd1q&8&L&T@ZpzCFI&K{rNr)4}2F;^JzK0E7Hh!Aq)f?(srG`8`#yKAY%m z^>OMJw=e6pyejF(Si%ab*v!{DixRy(diN`~g)&Lsjz%n$^^qxD9UHAe)(_iP#hH{e zT3fX7Y#Z;Wb{8wj3)+9MN;3QU`f5!*N3@P(-fYI*o+76Q6!j%x=TEoHrP1;-Z)sni z*VkLwS%XK{XAL=t#um)RB?yx2r>lM=m)G6tUsu?zU9@@D7qhYLI(R8VPf(NhmX&i! z(#An0`XXZg!|3zX12?#Qc|J&8{bpRZoob9{w`VkH>V8XC!JSiS*@tm*X78MlDpYb) z4)-5%YMshF%M%G98Z@|FW0a8zE`5|&gnH@yPL!57kZKChYIE-v7I9|YkK##BwC=d% zmrD@P)9`$n`t%2zG%oG&fCQeY1>4}hU zfCyhS^{lKxZB3k=7-u+W=CH9GJu@Tfiz3D$+hn2AlfCnW>H}U6Om9ZiBxFLcnNEv9 z+oz5((07i=RnhWtliNhu#K+6$mYL#_6t&eL-PDPQ^fo)9aT&eUvCZe+)|YltQ!LxO zsOpw4t0)mD$_R09mJ9BU@!vj%O{x)!FnWdvpP6-Z z$wbXIxN(j-B&T!)6M0zw*)}63DU9UYEK~OH2v!kB1{Az}A*~H)^x|4d0HD#UKQ%fR z&}hs5q|sRU-g4`D1ZcEJA{5Z*GnjPw9YNzG8hzE>VDIXBpN$o|HU(Q z#{L)2SXcq{e@QejpFSqhSmcjO^#4Ad!~Vtti%oUcQb&}_!VMzWN&r+hI z3hFZ7c|NJnu%Dv)i)Z-%b;|uCB+5~qf1E5Vj4dV>V>mX;ah?Gc|NqzLvnTXfLKd|? zl8a#&;~Aj8HZilZ79L8qG@&krQm{Z!K+Zy%yXMYu}vNL49ry z!rOXF9}4V_3wPjmRyFi&;Ts@x8W4o6G^1S}Rc3Z=;?qCY^X#mhjwlcxQrnk2JK$4v zO-AF>lq=2l(&{8B9uOMiNoOLWJ&EM0Z~MsLBzO~}GbRpxFv~U2(@t1Xtvqc~GLv&v z>qf0>o=#ya{T=a{eK(hay9WuiTvPjnh4MT3*VK(~_azNHFB#!yK**qU3scxXds$^2 zPM^!^Ww?@MuU6%odqeswC_Jei`WV-xms$@B*VHT*(?rqf*N%bwAJt#q^{y9vsi%_q z(U15`QqmJ0Z5O-pD+Cs34$i~*oj_;$96g#RjVh}b^BM$zXJ`XFS1%MH-54pIZBXx4 z+5CKuw<1T%lK++Qi^5<<^Ga_!sflxq+ai1Zs)L^&vQ-jN)ZIG0JgTUI6WL7QQFm|D z1gH02Smul?85A!YH&?Wtk@%4liL1Y*P}9AMn3>VblcSQZHcYsOxE|Drp@}LTE#b^K z$z;{J=UfL~=w7dEJewzWwn}_H(~HWWgB-<}7>{a!`v@M*B zR_(FVDA%OvN9iQR2T`%fiYO%>cBGbywWCZ~Cvj_V2s=_BZO}}ztTWa=8Nx-o{w2_* zqk~;Zpt?PR*Luz9n^j`aw^%mj>gg8WXKaNp1Y`VGjSN~Nl!1d3!5HeP7PHm}$TPMG zl|*?dHz<0l<=nIMXR3)RqHfn&r#Q6lEVUi3?(!9uepth#vv^XzBhki}C-z0!{w*FS8f}ix=k)vsek|CyU&$XloXkmn?KU zOFc00<$?t-GeJ+7XNM>4qGzSGX}QIga~eJRUZMECMY*eT4;IV6o5j{z5EF&P&$H8p z#{A!IT9p+uS12L-(lVO}35VfRD|h^4~!J9!asX={6+LPfN0Y7 z1z`tS=QtzH_4k|NLx}G)Zfz!;tRf+=AjW9jjJ$1$#T!WV2V?b{HN50c)t%5f8sB)7 z7KLB9?xKS>i_A%_!dUJeOe3D;A4-If)$XO{-ql4i%pXL?4)iBhEShSwZnyXYWX7aAA3c& zDTS{;!2%sX&?@8@DmsC5~ouR^5A7NEG(D0b*ubM6^$Z({2$;#y*iyHeSmEwhuztUKYTlH zA~yvxD@uGg_s0A%2da7-D)~W27pr63CfKGIo4#77&28$Lt}vV0IGgc#7@JPdR0RSL(y>Dm;fk<|Vf-@C{(}weMLHezLRx5l>jCP%j-@P_w(VeLOm@!t@DQ`PvzV}Ue zb$~u$*!eTqXX#wsZ#f?hpdhy`fb2@ACWKaQ-(EWdso|kN5yQ)EIo*LOJAmv!=!1d^ z$DtN)qQ>O*$DcO85byM1woKnokC3<~S3Yo#l-)Zos{ZM-^Ow!%3tNlzQkEZ(ceNwq zn#*^p3t5clLa6xH0Ik0H`l&)uWSwD**u#7Cc(&2c81vS>&Rx9a1^ghoXyNo~tU_@E zCcN?LV1D-%ls?x0)0iJI$)QIxego5lV_6gUkhn(nOASssj$wkOX`A{DRhieB6uH-! zkT}dDWQ9f#>n{?a^`#b;taxsu`!VufV~c_;KRRpZbBcoS02e&>>=Zgfb^3+0Z=jkc zjM$FAmBv2YXU8ps?$Mh+_QjGPd~oqBFN)$wB(9x3dUr=oC{ z_kA_i?F{sG2Eld)@Q2~5GGDWFLRhE(D}$4i@EnkIb23?DGWqjlGGa3MLyNR_SZFy5 z0|^^LFo+?Tg+W2SD*9bJ-(LN4sJ94RNvD;IT2$4RCBe<}ImDXhf(uKtKNnv%8uR&T zt5vnUp(6DFhk4KE@Fb0pqgwXQa6IPMI!5CO(-s^X4Dc^&H42zV{}fut&zwhu_V`Ps zkfX-xABDT2Z))rSILB<90LwX`;d16i4xroLr`$lOL9EJyAm0ZBZK5MkpETf!>pSY- zwJ{~)`+h;t_dES&R6A-SxM6Q&=FV{Fcb!XfKd<#3a^fL{D#*UTP56JpoX(()G`8^E`h5%pM(KHCQjzD|= zo(6`4k6jOF4dCtIWBI^nI5x)QZ|8!Ma3t_${FVj;l|&xT2Z6z{rqQGMz+gBE`}B1z z4GGlrSQ-=z_+Woa1F8Wod;j@1pgFB*QVZa_XL`b0hi9C4y7NWi7@ zds)C>Bm#()`CIwGU=$R7;(93L$@-!pfPNi27tN16-d0e4H1zoOpa>WiQ|#wo{QwR@ zoM;0$6wH6T%m_F?_;~vv;Ar&m`y%;aC)xnXkH9{I|8`##91c5P78D$DydQwkC@9ts z_S?B=6hHV_`$516IOJp+;$)vhB98YLpzk7%vj733`H$BPK%O6QtRDb;@E`w&g}L`zA+#S!9YGz8m=A#ia?FjN@ypR;I#fU(uVQQzM2 T`&a@L3yFdfv9d}kNfG@YJOHp4 literal 0 HcmV?d00001 diff --git a/Assignment6/documentation/sample_test_suite-2023.zip b/Assignment6/documentation/sample_test_suite-2023.zip new file mode 100644 index 0000000000000000000000000000000000000000..3038588e6f86581f83e43654ffcfc37c61469fc4 GIT binary patch literal 9228 zcmds+c{o(>`^RT8mh4%|5{;T{jeRd9Lbg#^KO$q#zPD$KN@PuzC{kpyq=e{GqC&}1 z$u2dh5QR@4Gii+9_50_0eO=e%o|$W&`!(3wK!9%I!?`{t!+vzWFQI*oF{}u+Vq}%foi#Wlz=^)FBAWoqrE92L8kTlF^;)U zNI`9*Jk)n9*E>^1!+G|8ckf9Ok5|0b@x;(yOKy0if*MwD=lU8MnIp}UHDc`W)umAM z2#8CxsbDpaAtMmF=f6`~n+NhL8r(L|2ViI^U=()k?Ok1CO zTNvKY(Czp6R()%PHa1Ke8G3BJc$83>vdGYfI{jF?qO-;3bYA;2()A;R%umViNm`2r z32g9g;4~1bQd++*s>=SSo<>lfedCKR7* z5eR<9nYxj-@kvpF7-7ezQNtOPvBvVE9v0?0TC+#eVo!qX6YBBGKT6D%U+G&7)}^u^ zul)C@Qj5$Q%i7cB8wB$AR0mF|x)z-49TX#u5Bj`1a$Z6H_^xpmVQHkn6`FlI)3n~Q zgs*2TIbv+JRL#DBocMTRR1#k^JD)t#C^Foe+ao)kZ69>+DbEh(J1l21Z^b*uw`viM z)IEfw-{5;MiB|{=e#qYwr)wSI*qEpEJiL&Xu|okFf~4z&r{6-`~oREP4%uHVVbp4uO?RaL-K#{q>u8%;cN3wK{6Tc}w^y7*?i=slcg`JoRyDVFWKxJsf= zRh@WR^{86sN~V({YU^mMA=U%KXl1UI>ChK60==w++Da!pdR&o%R-%I)oLBCE<0>%) z-hsEbBYGL^c-95I+`g;(+U%Q(gFlDA9Z`P(3S2ByXE(n%Gaw8c)C(p1yHKWRnP0_P z%#~&l$qe>aa8S=B_d6F3Een($hnD+&0Ty%O*^N%K0{Or}J*WKdoTg}{zhrw}dD52$ z$OI1R*%W?fgMUI(wA>QqdOPKFz~cZM)blF-k=GP0%LvvJT!N(BU=0_RAipo&84U1D zbVa$9fZPJLDrq8t(*1B3+TGn~y(4Sy&9*n0G!BRK_N^sf=C&15UYl3U zP=*-WSU^UL0Qa4$COW2>HqDoB_xsVD?m|00y>c4=*uA%th8!|yCdexSK(H$kq5?sR zSrF2IkY1C+v<&$>IHxYtK6`w5vIdV&nd(S*wl~k+mPka9V+vl?jJqHa2pl~Eu>n9) z*!rG!?oQ6G9!}?4pLvC8@X+?%nc#96s1ASDjnI1;XmO{jkZ-3vhJgkD{ks4%i&pqS zVgGp3@%}jG(b}%JKjn1xa_x%3iaiuUXJxKI?+jNfXKQ>&TaL28H!#=MOdhha8R~yp z?-Z@3<4}6{s#rQx`boAngBvD;pR5y2i3g)U^LP-C66bLKZ<cF86wTrZR@Vt83I%Q#33_HyragJc0y;$nbSplKE`v|fR832ZpD*En@|D}++U_Ht z^`>^+stwK9?D62qoN9dz<|69|)~;J*UyO&>&eHWp62^LCez%+}4PLJ|QUD$^-g2ol znDP)F9L;vot2j0sip`s_WF6v~{Lm@1`T20qk6HE^bIUz9(`tUoI0f~rYaIW|jq`jR zV=SW+tkjjt4RxJ`?_Q;Uv~_;U*T_3C0QM~k?CZ#zy;+ICgb84Pn}Wi{1t%^vcHogw zj0{J$G&5@Uo+eu+T02cOBrS|hG=@XAZVKJeP&FLyz#Nb;I)zJ4iF=D}i5C}B7BZCA z3Jwt$@(-A;PmKK%{xNn9b!jCNB_?gX)FY~S^?sf`li{dRGnW>KO#S`?id((Dt z;(0>%IA4@Nxft8E6+WxIuj*{krrBA6eU8;^Y8Cy&CK2AshGEZLRWZva*s0Za97(P! zgTd(8c|grHFjk^U9#mx$vx6fHRF%Gw-Uo?Q32|SyAALR~+I5@oV_vm?#qPw^NY>Af z&09NYo{HM3OSsw>F5QB%>B`7FQ@6e+XtF>1-r0kQi#y-7-R$ZlG-_@aw{3Fns~~b( zJc(cZz39dDGRYSx9%20X$IeU-ADhe!w|(`zJk^oZVG8MreJ(1HFX<74301ae?kV+Y zLsks_(Z%-bWu?aglBR&Mf)ywWsxmuQxu#l!d8fZc)+z=cjd!cgtUjQjUUZJWrw5&p z8alLQj#)Wza>}*n?#*Ux{}A5jurQ{r1hx|N+Il;6?ML}iTMPO{%xL*)OQw%LZd5s@ zQJQgCm9cCUA$AJ^dz3q$JvSyiaJ=KeID7oukmvCl>)9vHmDs?!X05Ze{g)J2UvTXc z9SAb1@zg)$e!Wng{5pwwir8HN#P9(tqWZ$)oom;sgr!HFk|i=XsplN>k4jx%ie)miA6Q#U zV{Xb_$@`&`aiE_?jqq+W2OLy{koS^3fExATF6{IJqk#l7lp`~aP zE3>e{(yQt5nOYIAXr$$QkV=6D5s3`jw8LH!o4G1B&&_`ew#6LT8^IZ^EXNYZ8Lf#5 z)l5jP%!y`h)n?q!aJwUoCZ9uA#8xLMq*Oe(&M85l}qvh8vm zrDnc-*hqPrCrBQrTV)at#dddJ8~#yx!PA#pF**N}d{(Xf`Ge-_l3605@?Mz_Bt91k z$dCT9Fn@GxJ%1h0xOHV36~Kk*8LI|rjF<*BilQ$FhNOlvmFMjf|FX$r-D+H{i9$rE zME$J#_V?8ti45!Qs>F7)r6INI1e5wu@%Dqsv$`yXrW5Yw%s2O9`cikZY#YHxmd5{d ztGfSBcG19jWt?l4o0XMf-n~mK3}0i6yXY!4_PxVcaYwGo5nT1sh3@^ zJs8cbC2YQW8^(5ET|H;zYh!r6?A8vx_|nGeKsP=4)y#2;IFke@{R)f~tXRc`cDaK! zOap8zSLpFV73jB!LYu>(vRs&h&i30JuH=@5aJDPV&rb)B$q3aLUD;%|*GDtuK!^d$ z#fXNMf&`Hb12jg(2MPL^AXfJw>+U@t5E*XeR$);g-s!x@gb&Q)uJx9JEi+_~aAg6l zi1FK-MH6jv9?7q&b37Y5u^T6!>bSc23(a0ay|dJqu6vs&Am7SHE-N^BT!C~92m{in z90N)cb_>FOEmQCu(AZKuiD+Q9t}^P``xaA;i|?CEFyEg|e!t{-q!pApBv zY2@Q&mmH^3ar_I=FmHT0odz__IHMDLT(X}2C|oD!)A2cC3$e~LN#|dMc;PB(WHwfD zAA{tj>MWbK*b^O2;5kqUodZC>#7TrdkHxUR2hM@Df?GA-{CN&Me-bm70LTH4>=ntf zUm^>UWO)?fOefiwwYsGU{i>EBxNTT zsGSimo>*i7$~wnHtoki$Rdxd)s}YoyTm;H;e%b5f>b&M?fsSjin^1lbI#ijvS?%@s zE5XL+|KE${ute4Wz{OJj%NqPIyjcIoC8#X%zqkaICH~u&AOfNJhsC;#<>DU@=;-7X zU<;gLz&!$T)pp2s_?xv09ueRl`$C}9R~Zp#=nY7~9XM;qX70z@i7fW>vj*d?8S!Xe zAi_aQ?O-@a0mwz6fkWmnoECk#!v&;Rj4nhaSBXDpf3uKDH1Jb#2C3_Ig zyiSz~nuTztv9J-uBt_CjU2FymmL$1d2xl?_rW=K}_seSs%|kfzV~h#JBt=S0a`zC< z^rgxKy+kS=W zPN}BkW*3}#7TCPYNQ9`6`vAwjPPBrsqY1OT0^wKZ*;f78dIW@TRLzm17O-yp)M(L6Uqjn zj-&PhqT1rGmMupmw>{w0X5cp?Dq0~E1YTi#wg8AKpQ}~@ zQjc2xeL0a^5O8V*8&xV~NWiHLfwmAeGxzkp<*4Ki0h}5S^av_ifB6M)E(VW>xI%%u z9xlfvzkh;r4*)+jQRPB+Q*iEeUpt7~+1y?Oa#MrXy?>nLE4^?vgqSvAILswduSK%W)~!`62K{gUa>& zbzceB`_;f7;vSY%Y5qI+Z?}4+bp;-GDclsf@EbokwAClU8$4Ub>PDfsc